SPECIAL ISSUE ENERGY, ENVIRONMENT, AND ENGINEERING SECTION: RECENT ADVANCES IN BIG DATA ANALYSIS (ABDA) ISSN:

Similar documents
Fault-tolerant system design using novel majority voters of 5-modular redundancy configuration

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3

Design and simulation of 4-bit ALU Design using GDI Technique for Low Power Application on Microwind 2.6K

More Course Information

Detection Of Fault In Self Checking Carry Select Adder

Design of Parallel Self-Timed Adder

Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number. Chapter 3

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

A Low Area Overhead Fault Tolerant Strategy for Multiple Stuck-At-Faults in Digital Circuits

Chapter 4 Design of Function Specific Arithmetic Circuits

Low Power Circuits using Modified Gate Diffusion Input (GDI)

DATAPATH ARCHITECTURE FOR RELIABLE COMPUTING IN NANO-SCALE TECHNOLOGY

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USINGPASS-TRANSISTOR LOGIC FAMILIES

High-Performance Full Adders Using an Alternative Logic Structure

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

Fault-Tolerant Computing

A 65nm Parallel Prefix High Speed Tree based 64-Bit Binary Comparator

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

Enabling Testability of Fault-Tolerant Circuits by Means of IDDQ-Checkable Voters

UNIT 6 CIRCUIT DESIGN

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT

Optimization of power and area using majority voter based fault tolerant VLSI circuits

COE 561 Digital System Design & Synthesis Introduction

Design of Low Power Wide Gates used in Register File and Tag Comparator

Modeling and Comparative Analysis of Logic Gates for Adder and Multiplier Applications -A VLSI based approach

CS8803: Advanced Digital Design for Embedded Hardware

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Figure 1. An 8-bit Superset Adder.

Implementation of DRAM Cell Using Transmission Gate

Chapter 5 Design and Implementation of a Unified BCD/Binary Adder/Subtractor

ECE 459/559 Secure & Trustworthy Computer Hardware Design

Lecture (05) Boolean Algebra and Logic Gates

Federal Urdu University of Arts, Science and Technology, Islamabad VLSI SYSTEM DESIGN. Prepared By: Engr. Yousaf Hameed.

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Design-For-Diversity for Improved Fault-Tolerance of TMR Systems on FPGAs

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

6. Latches and Memories

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration

HW/SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths

Implementation of CMOS Adder for Area & Energy Efficient Arithmetic Applications

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta *

J. Manikandan Research scholar, St. Peter s University, Chennai, Tamilnadu, India.

Columbia Univerity Department of Electrical Engineering Fall, 2004

Design and Implementation of Low Leakage SRAM Acrhitectures using CMOS VLSI Circuits in Different Technology Environment

MTJ-Based Nonvolatile Logic-in-Memory Architecture

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I

Evolution of CAD Tools & Verilog HDL Definition

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Optimized CAM Design

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX

A Low-Latency DMR Architecture with Efficient Recovering Scheme Exploiting Simultaneously Copiable SRAM

2. BLOCK DIAGRAM Figure 1 shows the block diagram of an Asynchronous FIFO and the signals associated with it.

Single Event Latchup Power Switch Cell Characterisation

Workshop on Digital Circuit Design in FPGA

FPGA Implementation of ALU Based Address Generation for Memory

Area Delay Power Efficient Carry-Select Adder

Design Methodologies

CAD4 The ALU Fall 2009 Assignment. Description

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Partitioned Branch Condition Resolution Logic

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,

LOW POWER PROBABILISTIC FLOATING POINT MULTIPLIER DESIGN

EE 434 ASIC & Digital Systems

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Utilizing Parallelism of TMR to Enhance Power Efficiency of Reliable ASIC Designs

Improved Fault Tolerant Sparse KOGGE Stone ADDER

DESIGN, LAYOUT AND SIMULATION OF 8 BIT ARITHMETIC AND LOGIC UNIT USING C5 PROCESS TECHNOLOGY

Memory Cell Designs with QCA Implementations: A Review

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

Implementation of Efficient Ternary Content Addressable Memory by Using Butterfly Technique

VLSI Design Automation

AN EFFICIENT DESIGN AND IMPLEMNTATION OF HIGH- PERFORMANCE NOISE-TOLERANT XOR-XNOR CIRCUITS

Content Addressable Memory performance Analysis using NAND Structure FinFET

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

Boolean Algebra and Logic Gates

Programmable Logic Devices II

Reliability Improvement in Reconfigurable FPGAs

Combinational Circuits

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems

An Efficient Design of 8T SRAM Cell Using Transmission Gates

Implementation of Ripple Carry and Carry Skip Adders with Speed and Area Efficient

CprE 458/558: Real-Time Systems. Lecture 17 Fault-tolerant design techniques

International Journal of Modern Trends in Engineering and Research e-issn No.: , Date: 2-4 July, 2015

Implementation of CMOS Adder for Area & Energy Efficient Arithmetic Applications

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs

Midterm Project Design of 4 Bit ALU Fall 2001

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

Transcription:

ISSN: 976-314 ARTICLE CONCURRENT ERROR DETECTION WITH SELF-CHECKING MAJORITY VOTING CIRCUITS V. Elamaran 1*, VR. Priya 2, M. Chandrasekar 1, Har Narayan Upadhyay 1 ABSTRACT 1 Department of ECE, School of EEE, SASTRA University, Thanjavur, INDIA 2 Department of CSE, SRC Campus, SASTRA University, Kumbakonam, INDIA The reliability of a system can be improved by designing it with relevant fault-tolerant approaches. The hardware redundancy is often used technique to mitigate errors in a system by placing duplicate copies of original modules. The faults are masked using this kind of passive redundancy approach instead of detecting errors with the help of majority voting circuit. So the reliability of this voter is more important. This study exemplifies five different self-checking voter circuits by means of computing majority and minority and with relevant additional logic in each. Self-checking voter circuits are implemented using mirror concept, mux-and-or approach, mux-xor approach, conventional approach and Manchster carry style. The critical path delay, power dissipation, layout area, number of transistors and figure-of-merit (FOM) are the performance metrics for a comparative study. Simulation results are obtained using Microwind layout editor tool with 12 nm, 9 nm and 7 nm CMOS process technologies. The approach using Manchester carry obtain better FOM (78.85), least layout area (227. µm 2 ), lower power dissipation (8.464 µw) as compared with other circuits. The Mux-and-or circuit obtains lower critical path delay (.42 ns) as than other approaches. INTRODUCTION KEY WORDS CMOS, Fault-tolerance, Majority function, Selfchecking, VLSI design, Voter circuits. Business-critical applications demand fault-tolerant system designs so that the transactions don t fail especially in stock exchange, banking and other time-shared systems. For example, the unavailability of ATM service should be 1 hours per year; unavailability of airline reservation should be around 1 minute per day [1]. These applications require very high reliability so that the probability of failure rate is close to zero. The identification of faults/errors and repairing the faulty modules are not possible in applications like spacecrafts and satellites [2]. The reliability improvement is achieved through fault-tolerant approaches. It does not mean that faulttolerant systems have always high reliability; this depends upon the probability of error occurring in a system [3]. We can design a system to tolerate any single error, but the poor reliability may occur if the probability of such error is too high. But fault-tolerance helps to improve the system reliability by obtaining correct outputs under the environment with software or hardware errors. A system can be designed with high quality components to reduce the failure rate; the system cannot function if the hardware components fail [1]. Published: 2 December 216 If there is a possibility of quick repairing faulty components, the availability of a system is improved. Reliability would depend on an interval of time whereas the availability would depend on an instant of time [4]. By reducing the mean-time-to-repair, the reliability of a system is improved. Applications like Supercomputer servers should have high availability for example the unavailability is 1 days per year. Telecommunication service is an example where the unavailability should be around 5 minutes per year [1]. *Corresponding Author Email: elamaran@ece.sastra.edu The safety of a system is more important i.e., it is safe if it operate correctly or it is in the safe state during the occurrence of failures [4] [5]. Applications like banking, railway signaling, nuclear energy demand high safety during the operation time. The safety operations are like money should not be distributed if any doubt (banking); Reactor should be stopped if there is any problem; all signal indicators should glow red (railway signaling) [1]. The ability of a system to serve its intended level of service to the customers is known as dependability. The dependability of a system can be improved by fault removal, fault tolerance, fault prevention and fault forecasting. The faults, errors and failures are the dependability impairments. The sole objective of a system is to increase the dependability of a system [6-8]. This paper is organized as follows. The section 2 contains materials and methods of five self-checking majority voter circuits with critical path delay findings. The section 3 discuss simulation results of power dissipation and layout area used in all designs. The conclusions are made in the section 4. MATERIALS AND METHODS Self-checking voter circuits are designed and simulated using Microwind and DSCH software tools. DSCH is a digital schematic editor tool whereas the Microwind is a layout editor tool [9-12]. Schematics which are designed using DSCH are converted in to Verilog hardware description language (HDL) script. The Verilog scripts are then compiled using Microwind tool to generate a corresponding layout. 178

Self-checking voter (SVC) using mirror concept In this study, self-checking voter circuits are implemented by comparing majority computation and minority computation logic outputs; if they are different there is no error in the circuit [13]. The majority value is computed using (AB+BC+CA) expression, whereas the minority value is computed using the same expression but with complement inputs as in [Fig. 1]. Fig. 1: SVC using mirror concept. The critical path delay is evaluated as in [Fig. 1b]. This circuit requires one inverter (.17 ns), one AND gate (.16 ns), one OR gate (.13 ns) and one XOR gate (.16 ns) for the critical path; hence the total delay is.62 ns. Self-checking voter (SVC) using mux-and-or If A=, then the majority becomes BC else B+C. Similarly, if A= then the minority becomes BC, B C as in [Fig. 2]. The critical path of this circuit requires one AND gate (.16 ns), 1 mux (.1 else ns) and one XOR gate (.16 ns); hence the total delay is.42 ns as portrayed in [Fig. 2b]. Fig. 2: SVC using mux-and-or. Self-checking voter (SVC) using mux-xor If B=C, then the majority becomes B else A. The minority value is computed using similar concept as in [Fig. 3]. The critical path of this circuit requires one XOR gate (.16 ns), one mux (.1 ns), one inverter (.1 ns) and one more XOR gate (.16 ns); hence the total delay is.52 ns as depicted in [Fig. 3b]. 179

Fig. 3: SVC using mux-xor. Self-checking voter (SVC) using conventional method The majority and minority values are computed using conventional method AB+BC+CA by NAND/NOR gates as in [Fig. 4]. The critical path of this circuit requires one NAND2 gate (.16 ns), one NAND3 gate (.16 ns) and one XOR gate (.16 ns); hence the total delay is.48 ns as shown in [Fig. 4b]. Fig. 4: SVC using conventional method. Self-checking voter (SVC) using Manchester carry chain The Minority value is computed using Manchester carry chain and the minority value is computed using mux-xnor principle as in [Fig. 5] [14]. The Manchester carry chain algorithm is described as follows: i) If A and B are different, then the minority value becomes C. ii) If A=B=1, then the minority becomes. iii) If A=B=, then the minority becomes 1. 18

Fig. 5: SVC using Macnchester carry chain. RESULTS AND DISCUSSION The power dissipation and layout area results are discussed in this section. An another performance metric is a figure-of-merit (FOM) is expressed as in Equation (1), FOM = 1 Power Delay Area (1) Since applications demand low power, less area and high performance, an optimized VLSI design should have a high FOM [4]. Power dissipation results The circuit functionalities are verified in both using DSCH tool and Microwind tool with schematic and layout respectively [15]. The power dissipation simulation results of self-checking voter circuits are obtained in [Fig. 6] in 12 nm, 9 nm and 7 nm process technologies. In 12 nm foundry, SVC using Manchester carry chain consumes power of 8.464 µw which is less as compared with remaining circuits. Power dissipation (micro Watts) 2 18 16 14 12 1 8 6 4 2 12nm 9nm 7nm Nanometer process technology Fig. 6: Power dissipation results. Comparison of Power dissipation results Mirror concept Mux-and-or Mux-xor Conventional Manchster carry 181

Critical path delay results The critical path delay results of self-checking voter circuits are obtained in [Fig. 7] in 12 nm process technology. SVC using mux-and-or had lower delay as.42 ns than others..7 Critical path delay in 12 nm process technology.6.5 Delay (nano seconds).4.3.2.1 Mirror concept Mux-and-or Mux-xor Conventional Manchester Carry Self-checking voter circuits Fig. 7: Critical path delay results. Layout area results Self-checking voter circuits using conventional (AB+BC+CA) method obtain less layout area in all 12 nm, 9 nm and 7 nm process technologies as in Figure 8; whereas SVC using Manchester carry chain stands next with low area. In 12 nm foundry technology, SVC using conventional had 17.4 µm 2 and SVC using Manchester carry chain had 227. µm 2. Layout area (micrometer square) 45 4 35 3 25 2 15 1 5 Fig. 8: Layout area results. Comparison of Layout area results Mirror concept Mux-and-or Mux-xor Conventional Manchster carry 12nm 9nm 7nm Nanometer process technology 182

Figure-of-merit results FOM results are obtained using the Equation (1) and are plotted in [Fig. 9] in 12 nm process technology. The SVC using Manchester carry chain had a better FOM as 78.85 1 5 than other designs. 8 Figure-of-Merit results 7 6 5 FOM x 1 5 4 3 2 1 Mirror concept Mux-and-or Mux-xor Conventional Manchester Carry Self-checking voter circuits Fig. 9: Figure-of-merit results. Total number of transistors and failure rate Failure rate (λ) of a circuit is determined using g, where g is the total number of logic gates or transistors in a design. It is obvious that the design is good if it contains few number of logic gates in order to have a lower failure rate. The reliability of a system is improved by reducing failure of rate. The total number transistors and the corresponding failure rate results are obtained in [Fig. 1 and 11] respectively. Results show that the SVC using mux-xor design requires only 32 transistors (16 nmos and 16 pmos) with the lower failure rate as 5.6568 than other circuits. The SVC using mux-and-or design stands second best method with 38 transistors and 6.1644 failure rate. The SVC using mirror concept had a higher failure rate due to more number of transistors (64). 7 Total number of transistors 6 Number of transistors (nmos+pmos) 5 4 3 2 1 Mirror concept Mux-and-or Mux-xor Conventional Manchester Carry Self-checking voter circuits Fig.1: Results of total number of transistors in SVC. 183

8 Failure rate (lambda) results 7 6 5 Failure rate 4 3 2 1 Mirror concept Mux-and-or Mux-xor Conventional Manchester Carry Self-checking voter circuits Fig. 1: Failure rate results. CONCLUSION In the current semiconductor technology evolution, reliability of microelectronic circuits play a vital role in any system design. The hardware redundancy is often used to remove or mask faults/errors in a circuit by incorporating redundant modules. The fault masking can be done using the majority voter circuits in a triple modular redundancy (TMR) system for better reliability. The TMR system fails if the voter circuit does not function correctly. This study exemplifies five difference self-checking voter circuits along with a comparative analysis in area, power and delay results. In real time, some applications may demand low power; some may demand high performance; few require less area. So, the trade-off is important for many applications. Designers may able to achieve low power circuits but at the cost of area or performance and vice versa. This work can be further extended self-checking five input majority voter circuits; 7-input majority voter circuits, etc. CONFLICT OF INTEREST There is no conflict of interest. ACKNOWLEDGEMENTS None FINANCIAL DISCLOSURE None REFERENCES [1] Dubrova E.[213] Fault-Tolerant Design. New York: Springer Science+Business Media. [2] Lala PK. [21] Self-Checking and Fault-Tolerant Digital Design. Morgan Kaufmann publishers. [3] Koren I, Mani Krishna C. [213] Fault-Tolerant Systems. Morgan Kaufmann publishers.design of a novel fault-tolerant voter circuits for TMR implementation to improve reliability in digital circuits. [4] Kshirsagar KV, Patrikar RM. [29] Microelectronics Reliability, 49: 1573-1577. [5] Majority function computation using different voter circuits a comparative study. V Elamaran, et al. 215. International Journal of Pharmacy and Technology, 7: 9764-9773. [6] Balasubramanian P. [216] ASIC-based design of NMR system health monitor for mission/safety-critical applications. SpringerPlus, 5: 1-16. [7] Elamaran V, Har Narayan Upadhyay. [215] CMOS VLSI Design of Low Power SRAM Cell Architectures with new TMR: A Layout Approach. Asian Journal of Scientific Research, 8: 466-477. [8] Elamaran V, Har Narayan Upadhyay. [215] Low Power Digital Barrel Shifter Datapath Circuits using Microwind Layout Editor with High Reliability. Asian Journal of Scientific Research, 8: 478-489. [9] Hari Hara Subramani S. et al. [214] Low-energy, low power adder logic cells: A CMOS VLSI Implementation. Asian Journal of Scientific Research, 7: 248-255. [1] Elamaran V. et al. [215] A handy approach for teaching and learning digital VLSI design using EDA tools. International Journal of Pharmacy and Technology, 7: 9935-9944. [11] Rajesh KSSK. et al. [214] CMOS VLSI design of low power comparator logic circuits. Asian Journal of Scientific Research, 7: 238-247. [12] Pradhisha R. et al. [215] FPGA Implementation of Selftesting Logic gates, Adders, Multipliers.. Indian Journal of Science and Technology, 8: 1-6. 184

[13] Balasubramanian P, Maskell.L.. [215].A distributed minority and majority voting based redundancy scheme. Microelectronics Reliability, 55: 1373-1378. [14] Uyemura, J.P. Introduction to VLSI Circuits and Systems. India: Wiley India publishers, 26. [15] Sayapaneni P, Elamaran V. [214]s.I.: IEEE Press, A comparative study on low power adders using Microwind EDA tool. International Conference on Computational Intelligence and Computing Research, pp. 1-5. 185