Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Similar documents
Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Classification revisited. Slide 3

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Dynamic Write Limited Minimum Operating Voltage for Nanoscale SRAMs

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani

Unleashing the Power of Embedded DRAM

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Optimizing Standby

Embedded Memory Alternatives

Energy-Efficient Cache Memories using a Dual-V t 4T SRAM Cell with Read-Assist Techniques

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman.

Postsilicon Adaptation for Low-Power SRAM under Process Variation

READ STABILITY ANALYSIS OF LOW VOLTAGE SCHMITT TRIGGER BASED SRAM

Yield-driven Near-threshold SRAM Design

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

Lecture 11: MOS Memory

Memory in Digital Systems

! Serial Access Memories. ! Multiported SRAM ! 5T SRAM ! DRAM. ! Shift registers store and delay data. ! Simple design: cascade of registers

CS250 VLSI Systems Design Lecture 9: Memory

Semiconductor Memory Classification

MEMORIES. Memories. EEC 116, B. Baas 3

Column decoder using PTL for memory

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY

A 32 kb 10T sub-threshold sram array with bitinterleaving and differential read scheme in 90 nm CMOS

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

Survey on Stability of Low Power SRAM Bit Cells

ENEE 359a Digital VLSI Design

A Low Power SRAM Cell with High Read Stability

Memory in Digital Systems

A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context.

CENG 4480 L09 Memory 2

1073 P a g e 2. LITERATURE REVIEW OF DIFFERENT SRAM CELLS

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

+1 (479)

Design of 6-T SRAM Cell for enhanced read/write margin

Comparative Analysis of Contemporary Cache Power Reduction Techniques

CONTINUED increase in process variability is perceived

A 65nm 8T Sub-V t SRAM Employing Sense-Amplifier Redundancy

ENEE 759H, Spring 2005 Memory Systems: Architecture and

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage:

Analysis of 8T SRAM Cell Using Leakage Reduction Technique

Integrated Circuits & Systems

Deep Sub-Micron Cache Design

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Modeling and Design of high speed SRAM based Memory Chip

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

Memory in Digital Systems

Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

DEVELOPMENT OF AN AREA-EFFICIENT AND LOW-POWER FIVE-TRANSISTOR SRAM FOR LOW-POWER SOC

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Very Large Scale Integration (VLSI)

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

Macro in a Generic Logic Process with No Boosted Supplies

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

Improved Initial Overdrive Sense-Amplifier. For Low-Voltage DRAMS. Analog CMOS IC Design. Esayas Naizghi April 30, 2004

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

CS 152 Computer Architecture and Engineering

Introduction to CMOS VLSI Design Lecture 13: SRAM

Design of Low Power Wide Gates used in Register File and Tag Comparator

A Single Ended SRAM cell with reduced Average Power and Delay

Low Power and Improved Read Stability Cache Design in 45nm Technology

CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY

Session 11 Overview: SRAM MEMORY SUBCOMMITTEE

250nm Technology Based Low Power SRAM Memory

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

Design and Characterization of an Embedded ASIC DRAM

DESIGN AND READ STABILITYANALYSIS OF 8T SCHMITT TRIGGER BASED SRAM

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

SYNTHESIS FOR ADVANCED NODES

Embedded System Application

SRAM MEMORY ARCHITECTURE. Student Name: Purnima Singh Roll Number :

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

ECE 485/585 Microprocessor System Design

ECE 2300 Digital Logic & Computer Organization

The Memory Hierarchy 1

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

Digital Integrated Circuits Lecture 13: SRAM

PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES

Transcription:

EE241 - Spring 2011 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework 1 due on Wednesday Quiz #1 next Monday, March 7 2 1

Outline Last lecture Variability This lecture SRAM 3 Practical Variability-Aware Design Will be covered in next several lectures SRAM design Statistical timing analysis 4 2

SRAM Read/Write/Retention Margins SRAM Scaling Trends 100 m 2 ) ITRS Single Cell Reported Individual Cell Reported Cell in Array m 2 ) ITRS Effective Cell Reported Effective Cell SRAM Cell Size (u 10 1 SRAM Cell Size (u 10 1 0.5x effective cell area scaling difficult 0.1 700600 500 400 300 200 10090 80 70 60 50 40 30 Technology Node (nm) 0.1 300 200 100 90 80 70 60 50 40 30 Technology Node (nm) Individual SRAM cell area able to track ITRS guideline Array area deviates from ITRS guideline at 90nm Memory design no longer sits on the 0.5x area scaling trend! 6 3

Memory Scaling ze (MB) On-Die L3 Cache siz 10 1 Server processors Itanium Processors 180 160 140 120 100 80 60 Technology Node (nm) Xeon Processors Memory latency demands larger last level cache (LLC) Memory is more energy-efficient than logic LLC approaches 50% chip area for desktop and mobile processors LLC approaches 80% chip area for server processors Vivek De, Intel 2006 7 6-T SRAM Cell Improve CD control by unidirectional poly Relax critical layer patterning requirements Optimizing design rules is key 8 4

SRAM cell design trends B IEDM 02 V DD GND WL Cell in 90nm (1 m 2 ) Cell in 32nm (0.171 m 2 ) Shorter bitline enables better cycle time and/or array efficiency Full metal wordline with wider pitch achieves better RC 9 SRAM Cell Trends A little analysis with a ruler: Aspect ratio 2.9 Height ~178nm, Width ~518nm Gate ~ 45nm (Lg is smaller) 0.092 m 2 cell in 22nm from Intel (IDF 09) 0.346 m 2 cell in 45nm from Intel (IEDM 07) 10 5

More SRAM Trends 0.15 m 2 cell in 32nm from TSMC (IEDM 07) 0.1 m 2 cell in 22nm from IBM (IEDM 08) 11 Ion/Ioff: Cell Read and Leakage H. Pilo, IEDM 2006 12 6

13 SRAM Cell/Array Hold (retention) stability WL Read stability M 2 VDD M 4 Write stability M5 Q Q M6 Read current (access time) M1 M3 Access Transistor Pull down Pull up 14 7

SRAM Design Hold (Retention) Stability WL Load PL V DD PR AXL 1 AXR 0 NL NR Access NPD Scaling trend: Data Retention Leakage Increased gate leakage + degraded I ON /I OFF ratio Lower V DD during standby PMOS load devices must compensate for leakage 15 Retention Stability Would like to reduce supply in standby WL PL V DD PR AXL 1 0 AXR NL NR 16 8

Monte-Carlo Simulation of DRV Distribution 300 stogram of cell # Hi 250 200 150 100 50 Qin, ISQED 04 0 0 50 100 150 200 250 300 Simulated DRV of 1500 SRAM cells (mv) 17 H. Pilo, IEDM 2006 18 9

Read Stability Static Noise Margin (SNM) VDD 1 VL VR PR AXR Read SNM NR VR (V) 0.5 90nm simulation 0 0 0.5 1 VL (V) Read SNM is the contention between the two sides of the cell under read stress. 1 VTh Due to RDF C WL E. Seevinck, JSSC 1987 ox 19 Read SNM - Measurements WL Load V DD PL PR AXL 1 Access NL NR AXR V>0 NPD Retention fluctuations Read margin and retention margin [Bhavnagarwala, IEDM 05] 20 10

Read Stability N-Curve A, B, and C correspond to the two stable points A and C and the metastable point B of the SNM curve When points A and B coincide, the cell is at the edge of stability and a destructive read can occur 21 H. Pilo, IEDM 2006 22 11

Write Stability Write Noise Margin (WNM) VDD PR VL VR AXR NR Writeability is becoming harder with scaling Optimizing read stability and writeability at the same time is difficult A. Bhavnagarwala, IEDM 2005 23 Write Stability /WL Write Margins (V) Voltage 1.2 1 0.8 0.6 0.4 0.2 0-0.2 WM 0.00E+00 2.00E-08 4.00E-08 6.00E-08 8.00E-08 1.00E-07 Time (s) Highest voltage under which write is possible when C is kept precharged (V) Voltage ( 1.2 1 0.8 0.6 0.4 0.2 0-0.2 WM WL 0.00E+00 2.00E-08 4.00E-08 6.00E-08 8.00E-08 1.00E-07 Time (s) Difference between VDD and lowest WL voltage under which h write is possible when both bit-lines are precharged 24 12

Write Stability Write Current (N-Curve) Minimum current looging into the storage node C. Wann et al, IEEE VLSI-TSA 2005 25 H. Pilo, IEDM 2006 26 13

6-T SRAM Static/Dynamic Stability Read Margin SNM: pessimistic Write Margin WNM: optimistic Introduction of dynamic margins 27 Next Lecture SRAM design techniques 28 14

SRAM Assist Techniques Peripheral Circuits to Help SRAM Write assist techniques Read assist techniques Redundancy ECC 30 15

Multi-Voltage SRAM Read Write Retention WL Periphery Vmin Vmin Vmin AXL V DD PL PR 1 0 NL NR AXR Precharge Vmin (H) Vmin (L) Vmin WL Vmin Vmax N/A Cell V DD Vmax Vmin Vmin 31 Array Adjustments Array back bias, to compensate for systematic variations S. Mukhopadhyay, VLSI 2006 32 16

Dynamic V DD Implementation WL cell cell cell cell cell WL cell cell cell cell cell BI MUX VCC MUX VCC_hi VCC_lo W R R R MUX (8:1) MUX MUX MUX MUX MUX VCC selection is along column direction to decouple the read & write Zhang, ISSCC 05 33 Capacitive Write Assist S. Ohbayashi, VLSI 2006 34 17

Write/Read Assist H.Pilo, VLSI 2006 35 Pulsed WL/ M.Khellah, VLSI 2006 36 18

Negative 0V Negative bias gen Din Nii, VLSI 08 37 SRAM Redundancy and ECC 19

Redundancy and ECC in SRAM Redundancy Redundant columns If a faulty cell is detected during test, the entire column is replaced Error correction codes Protect form random soft errors 39 ECC Kawahara, ISSCC 07 tutorial 40 20

Multi-bit Errors Kawahara, ISSCC 07 tutorial 41 Multi-bit Errors Kawahara, ISSCC 07 tutorial 42 21

Multi-bit Errors 43 Multi-bit Errors: Interleaving 44 22