EE 109L Review. Name: Solutions

Similar documents
EE 109L Final Review

Question Total Possible Test Score Total 100

ECE 341 Midterm Exam

Code No: R Set No. 1

R07

ECE 2030D Computer Engineering Spring problems, 5 pages Exam Two 8 March 2012

R10. II B. Tech I Semester, Supplementary Examinations, May

ECE 341 Midterm Exam

Injntu.com Injntu.com Injntu.com R16

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

MULTIMEDIA COLLEGE JALAN GURNEY KIRI KUALA LUMPUR

Final Exam Solution Sunday, December 15, 10:05-12:05 PM

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Scheme G. Sample Test Paper-I

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I

University of Toronto Mississauga. Flip to the back cover and write down your name and student number.

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences. Spring 2010 May 10, 2010

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book

END-TERM EXAMINATION

Mark Redekopp, All rights reserved. EE 352 Unit 8. HW Constructs

Written exam for IE1204/5 Digital Design Thursday 29/

Logic design Ibn Al Haitham collage /Computer science Eng. Sameer

Code No: R Set No. 1

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Fundamentals. Lab 6 2 s Complement / Digital Calculator

Code No: R Set No. 1

Hours / 100 Marks Seat No.

CS & IT Conversions. Magnitude 10,000 1,

A complement number system is used to represent positive and negative integers. A complement number system is based on a fixed length representation

problem maximum score 1 10pts 2 8pts 3 10pts 4 12pts 5 7pts 6 7pts 7 7pts 8 17pts 9 22pts total 100pts

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

Introduction to Digital Logic Using Logisim

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

Philadelphia University Student Name: Student Number:

One and a half hours. Section A is COMPULSORY UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE

Final Examination (Open Katz, asynchronous & test notes only, Calculators OK, 3 hours)

ECE 2030B 1:00pm Computer Engineering Spring problems, 5 pages Exam Two 10 March 2010

Hours / 100 Marks Seat No.

NADAR SARASWATHI COLLEGE OF ENGINEERING AND TECHNOLOGY Vadapudupatti, Theni

REGISTER TRANSFER LANGUAGE

EXPERIMENT #8: BINARY ARITHMETIC OPERATIONS

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

EE 109 Unit 6 Binary Arithmetic

2015 Paper E2.1: Digital Electronics II

(ii) Simplify and implement the following SOP function using NOR gates:

11/22/1999 7pm - 9pm. Name: Login Name: Preceptor Name: Precept Number:

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( )

EECS150 Homework 2 Solutions Fall ) CLD2 problem 2.2. Page 1 of 15

CS/COE 0447 Example Problems for Exam 2 Spring 2011

ECE 331: N0. Professor Andrew Mason Michigan State University. Opening Remarks

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008

Microcomputers. Outline. Number Systems and Digital Logic Review

ECE 550D Fundamentals of Computer Systems and Engineering. Fall 2017

Computer Organisation CS303

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function.

ENEL 353: Digital Circuits Midterm Examination

a, b sum module add32 sum vector bus sum[31:0] sum[0] sum[31]. sum[7:0] sum sum overflow module add32_carry assign

Real Digital Problem Set #6

SIR C.R.REDDY COLLEGE OF ENGINEERING, ELURU DEPARTMENT OF INFORMATION TECHNOLOGY LESSON PLAN

CMPE 413/ CMSC 711. Project Specification: 16 bit 2 s complement Adder and 8 bit 2 s complement multiplier. GND. Input bus. Latches I[8]-I[15]

ELCT 501: Digital System Design

CS 151 Midterm. (Last Name) (First Name)

University of Technology

Operations On Data CHAPTER 4. (Solutions to Odd-Numbered Problems) Review Questions

QUESTION BANK FOR TEST

Number System. Introduction. Decimal Numbers

1. Draw general diagram of computer showing different logical components (3)

E40M. Binary Numbers, Codes. M. Horowitz, J. Plummer, R. Howe 1

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( )

60-265: Winter ANSWERS Exercise 4 Combinational Circuit Design

2. (a) Compare the characteristics of a floppy disk and a hard disk. (b) Discuss in detail memory interleaving. [8+7]

that system. weighted value associated with it. numbers. a number. the absence of a signal. MECH 1500 Quiz 2 Review Name: Class: Date:

Student Number: UTORid: Question 0. [1 mark] Read and follow all instructions on this page, and fill in all fields.

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April

Digital Logic Design Exercises. Assignment 1

NODIA AND COMPANY. GATE SOLVED PAPER Computer Science Engineering Digital Logic. Copyright By NODIA & COMPANY

Semester Transition Point. EE 109 Unit 11 Binary Arithmetic. Binary Arithmetic ARITHMETIC

IA Digital Electronics - Supervision I

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

Arab Open University. Computer Organization and Architecture - T103


3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013

R a) Simplify the logic functions from binary to seven segment display code converter (8M) b) Simplify the following using Tabular method

Department of Electrical Engineering McGill University ECSE 221 Introduction to Computer Engineering Assignment 2 Combinational Logic

CHAPTER SIX BASIC COMPUTER ORGANIZATION AND DESIGN

ECE20B, Spring Final Exam lab questions.

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS)

Computer architecture Assignment 3

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE)

Format. 10 multiple choice 8 points each. 1 short answer 20 points. Same basic principals as the midterm

DIGITAL ARITHMETIC: OPERATIONS AND CIRCUITS

Chapter 5 Registers & Counters

Parallel logic circuits

DE Solution Set QP Code : 00904

Code No: 07A3EC03 Set No. 1

Ripple Counters. Lecture 30 1

Transcription:

EE 9L Review Name: Solutions Closed Book / Score:. Short Answer (6 pts.) a. Storing temporary values in (memory / registers) is preferred due to the (increased / decreased) access time. b. True / False: A circuit whose VOL value is V can successfully communicate a logic '' to a circuit whose VIL is.5 V? c. A family of circuits have IOH=-2 ma, IOL = ma, IIH = -.2 ma and IIL =.25 ma. How many other inputs can a single output be successfully wired to ensure operation in any condition? When outputting logic '' ten gates (2mA/.2mA) can be connected but when outputting logic '' only 4 gates (ma/.25ma) can be connected. Thus 4 is the limit. d. The address bus of a new computer is 38-bits wide, approximately how many bytes of memory and I/O locations can the computer address? Show any work. 2 38 = 2 8 *2 3 = 256 GB 2. Integer Operations (2 pts). Perform the following hexadecimal operations and state whether OVerflow occurred. For subtraction, find the complement and add. a.) C 5 A - 6 8 B E C 5 A 9 7 4 + 5 C E 2 b.) F B 4 B + 9 F 3 5 9 A 8 signed ov: y /n signed ov: y /n unsigned ov: y /n unsigned ov: y /n

3. Adders ( pts.) Build a circuit that takes three, 3-bit unsigned numbers, X[2:], Y[2:], and Z[2:], as input and produces an output F = X + 4Y + 4Z. Note: In binary, multiplying by 4 = 2 simply requires appending two 's to the end of the number just as in decimal, multiplying by just means adding two 's (i.e. 937* = 937 in decimal). a.) How many bits are required for F? (2 pts.) Max = 7 + 28 + 28 = 63 => 6-bits b.) The columns of addition are shown below. Implement the addition using a minimal number of 74LS283 4-bit adders. One has been drawn below. Add more as necessary. (8 pts.) x2 x x y2 y y + z2 z z Z2 Y2 Z Y Z Y X2 X X B3 A3 B2 A2 B A B A 4-bit Binary Adder (74LS283) C C4 S3 S2 S S F5 F4 F3 F2 F F

4. State Machine Design (Down Counter w/ Restart): Design a synchronous state machine circuit that implements a 2-bit down counter (i.e. counts,,,, ). The circuit has an external input, R (RESTART), that when should force the counter back to the state no matter what the current state is. As long as R stays, the counter should stay in the state. The circuit should also have one output Z. Z= when in the state and Z = otherwise. Let us use 4 states: S3 (initial state on reset) The count should be 2 = 3 S2 The count should be 2 = 2 S The count should be 2 = S The count should be 2 = a.) Complete the state diagram below by filling in all necessary transitions and the values of Z. On Reset (power on) R = R = R = S3 Z = R = S2 Z = S Z = S Z = R = R = b.) What is the minimum number of flip-flops required to implement this state machine? 2 Flip Flops c.) Complete the state transition/output table given below. (Note: We have provided the state assignment already). We have ordered the states in such a way to use gray code ordering, so take care when translating your state diagram to the transition/output table. Current State Next State Output R= R= State State ** State ** Z S S3 S3 S S S3 S3 S2 S3 S2 S S3

d.) Assume we will implement our circuit using D Flip-Flops. Use the K-Maps below to find minimal expressions for D, D, and Z. D Map D Map Z Map R R 2 3 Z = D = R + + D = R + e.) Show how to implement the initial state (power-on/reset state) by connecting the PRE (PRESET) and CLR inputs of the FF s appropriately. Assume the signal /RESET is available to you. You do not need to implement the next-state or output-function logic. RESET D D PRE CLR / RESET D D PRE CLR / CLK

f.) Using your design above draw the waveform for the sequence of states that the machine will go through and what the output will be for the given input sequence of X. Remember you are using positive edge-triggered devices. CLK RESET R Z 5. True / False a.) A 4-to- multiplexer requires at least 4 select lines: true / false FALSE b.) 3 separate 2-to- muxes can be used to build a single 4-to- mux: true / false TRUE c.) 5 flip-flops are required to implement a state machine with 5 states: true / false FALSE d.) In binary, performing X-Y can be performed by adding X to the 2 s complement of Y: true / false TRUE

6. Design a circuit takes a 2-bit, unsigned number A=(AA) and a -bit, unsigned number B=(B) as input and produces the output C = A B represented in the 2 s complement system. (25 pts.) a.) Complete the block diagram of this circuit by showing and labeling the inputs and outputs. Think how many output bits are required. (2 pts.) B A A C C C2 b.) Write out a truth table for this circuit. (8 pts.) A A B C2 C C c.) Find the minimal SOP expression for each bit of output by using the 3 K-Maps furnished below. Make sure to add the variable labels for the axes of each K-Map and add your gray code. Clearly indicate the minimal expressions you find for each output. (2 pts.) B AA B AA B AA C2 = A A B C = A A B + AA + AB C2 = AB + A B