HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h)

Similar documents
Wireless Communications, Information Theory, Physical Layer Security, Cyber Security for Smart Grid, Cryptography, Network Coding.

Jun Li, Ph.D. School of Computing and Information Sciences Phone:

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building,

SANS Vendor Events. SANS offers a variety of events which bring you in touch with the highly qualified SANS community.

Software verification and testing, software evolution, program analysis, and formal methods.

CURRICULUM VITAE. Hao MA

Lingxi Xie. Post-doctoral Researcher (supervised by Prof. Alan Yuille) Center for Imaging Science, the Johns Hopkins University

SPYROS TRAGOUDAS. Professor and Department Chair Site Director, NSF I/UCRC for Embedded Systems

Jinwei Gu. Ph.D. in Computer Science Dissertation: Measurement, Modeling, and Synthesis of Time-Varying Appearance of Natural

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas

Dr. Imran Khan University of Nebraska-Lincoln Marketing (402)

CIRCUIT clustering (or partitioning) is often employed between

RESUME WEI LI EDUCATION EMPLOYMENT RESEARCH INTERESTS HONORS AND AWARDS

North Dakota State University Fargo, ND Ph.D. in Software Engineering Emphasis area: Security Requirements Engineering

Dr. Spencer Sevilla Postdoctoral Researcher, University of Washington

Lu Ruan. Assistant Professor Department of Computer Science, Iowa State University, Ames, IA.

Associate Professor Electrical and Computer Engineering Old Main Hill, Logan, Utah

A buffer planning algorithm for chip-level floorplanning

Vahideh Manshadi. Yale School of Management Voice: (650) Whitney Ave, Rm New Haven, CT 06511

Weikang Qian. Nomination for Best Student Paper Award,

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Timing Analysis With Crosstalk is a Fixpoint on a Complete Lattice

Hideki Todo. Computer Graphics, mainly of Non-Photorealistic Rendering (NPR) Stylized Rendering, Character Animation, User Interaction, Image Analysis

CURRICULUM VITAE - YANG HU

Non Uniform On Chip Power Delivery Network Synthesis Methodology

Animation of VLSI CAD Algorithms A Case Study

Probability-Based Approach to Rectilinear Steiner Tree Problems

the main limitations of the work is that wiring increases with 1. INTRODUCTION

Stanford University Packing and Padding, Coupled Multi-index for Accurate Image Retrieval.

JOYCE JIYOUNG WHANG EDUCATION

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits

A Complete Bibliography of the Proceedings Volumes of the ACM Symposia on the Theory of Computing ( )

On Designs of Radix Converters Using Arithmetic Decompositions

YOUNGMIN YI. B.S. in Computer Engineering, 2000 Seoul National University (SNU), Seoul, Korea

Tree Structure and Algorithms for Physical Design

Floorplan considering interconnection between different clock domains

Aug till date, Assistant Professor (tenure-track) Department of Electrical Engineering, University of Texas at Dallas

Brian F. Cooper. Distributed systems, digital libraries, and database systems

Gate Sizing by Lagrangian Relaxation Revisited

3715 McClintock Ave, GER 240, Los Angeles, CA 90089

Jung-Lin Yang. Ph.D. and M.S. degree in the Dept. of Electrical and Computer Engineering University of Utah expected spring 2003

PUBLICATIONS. Journal Papers

Stavros Nikolaou. 413 Gates Hall URL: Ithaca, NY, 14853, USA Date of Birth: December, 1987

Amir Aminzadeh Gohari

An Interconnect-Centric Design Flow for Nanometer Technologies

Arbee L.P. Chen ( 陳良弼 )

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 ISSN

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d

Shin Hong. Assistant Professor Handong Global University (HGU) Pohang, Kyongbuk, South Korea (37554)

A Complete Data Scheduler for Multi-Context Reconfigurable Architectures

AKIKO MANADA. The University of Electro-Communications 1-5-1, Chofugaoka, Chofu, Tokyo, , JAPAN

JOYCE JIYOUNG WHANG. June 2008 May 2010: Undergraduate Research Assistant, Department of Computer Science and Engineering, Ewha Womans University.

Dukpa Kim FIELDS OF INTEREST. Econometrics, Time Series Econometrics ACADEMIC POSITIONS

Abstract A SCALABLE, PARALLEL, AND RECONFIGURABLE DATAPATH ARCHITECTURE

PhD coursework at Fox College of Business, Temple University, Philadelphia, PA Concentration: Information Systems (GPA: 3.9/4.

MAGNO QUEIROZ Curriculum Vitae

Processing Rate Optimization by Sequential System Floorplanning

CURRICULUM VITAE. June, 2013

Fan Zhai. Education Northwestern University, Evanston, IL 09/ /2004 Dept. Electrical & Computer Engineering

a) wire i with width (Wi) b) lij C coupled lij wire j with width (Wj) (x,y) (u,v) (u,v) (x,y) upper wiring (u,v) (x,y) (u,v) (x,y) lower wiring dij

Ph.D. with Distinction in Computer Science Advisor: Prof. Micha Sharir Dissertation: Geometric Arrangements: Substructures and Algorithms

LOGIC synthesis is the process of transforming a set of

NetFPGA Update at GEC4

Jinwei Ye. Research Interests. Education. Research Experience. Phone: (302) Homepage:

Yi Qiao yqiao

Call for Participation

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

The ECE Curriculum. Prof. Bruce H. Krogh Associate Dept. Head.

Interconnect Delay and Area Estimation for Multiple-Pin Nets

Columbia University (office) Computer Science Department (mobile) Amsterdam Avenue

A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees

Curriculum Vitæ. (Home address) 8399 Transvaal Blue Street Phone: (702) Las Vegas, NV Cell: (702)

1. Discovering Important Nodes through Graph Entropy The Case of Enron Database

LOW POWER FPGA IMPLEMENTATION OF REAL-TIME QRS DETECTION ALGORITHM

Ph.D. in Computer Science & Technology, Tsinghua University, Beijing, China, 2007

Routability-Driven Bump Assignment for Chip-Package Co-Design

Timing-Driven Maze Routing

Post-Route Gate Sizing for Crosstalk Noise Reduction

λ-oat: λ-geometry Obstacle-Avoiding Tree Construction With O(n log n) Complexity

The design of a simple asynchronous processor

EDUCATION RESEARCH EXPERIENCE

Incremental Layer Assignment for Critical Path Timing

Congestion Prediction in Early Stages of Physical Design

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design

A Time-Multiplexed FPGA

OWASP Global AppSec Conference Sponsorship

TIMA Lab. Research Reports

A Transistor-level Symmetrical Layout Generation for Analog Device

An O(nlogn) Algorithm for Obstacle-Avoiding Routing Tree Construction in the λ-geometry Plane *

University of Illinois at Urbana-Champaign, Urbana, Illinois, U.S.

Joe Michael Kniss December 2005

Lossless Compression using Efficient Encoding of Bitmasks

Delay and Power Optimization of Sequential Circuits through DJP Algorithm

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors

Sameer Agarwal. Indian Institute of Technology M.Sc. in Mathematics and Scientific Computing.

High Throughput and Low Power NoC

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp

Phone: (979)

Transcription:

HAI ZHOU Electrical and Computer Engineering Northwestern University 2535 Happy Hollow Rd. Evanston, IL 60208-3118 Glenview, IL 60025 haizhou@ece.nwu.edu www.ece.nwu.edu/~haizhou (847) 491-4155 (o) (847) 715-4625 (h) EDUCATION Ph.D. University of Texas at Austin May 1999. Major: Computer Sciences Thesis: Signal Integrity and Low Power Issues in Deep Sub-Micron VLSI Design Advisor: Professor Martin D. F. Wong M.S. Tsinghua University, Beijing, China June 1994. Major: Computer Science and Technology Thesis: OOUID An Object-Oriented User Interface Design Tool Advisor: Professor Xingliang Lin B.S. Tsinghua University, Beijing, China June 1992. Major: Computer Science and Technology Minor: Management GPA: 91/100 ranked second in graduating class (161 students) HONORS AND AWARDS CAREER Award National Science Foundation, 2003-2008 Special Recognition Award Synopsys, Inc., 2000 Motorola Scholarship (top 5%) Tsinghua University, 1993 Guanghua Scholarship (top 5%) Tsinghua University, 1992 Third rank Mathematics Competition, Tsinghua University, 1990 Top 100 National Physics Olympiad, China, 1986 WORKING EXPERIENCE Sep 2001 present: Assistant Professor, Electrical and Computer Engineering, Northwestern University.

Jun 1999 Aug 2001: Senior R&D Engineer, Advanced Technology Group, Synopsys Inc. Aug 1994 May 1999: Research/Teaching Assistant, Department of Computer Sciences, Department of Electrical and Computer Engineering, The University of Texas at Austin. Jun 1997 Aug 1997: Summer Intern, Placement and Route Group, Avant! Corporation, Fremont, CA. PROFESSIONAL SERVICES Technical Program Committee of ICCAD: IEEE International Conference on Computer Aided Design 2004. Technical Program Committee of ICCD: IEEE International Conference on Computer Design 2004. Technical Program Committee of GLS-VLSI 2004. Technical Program Committee of Tau 2004: ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems. Technical Program Committee of ICCAD: IEEE International Conference on Computer Aided Design 2003. NSF Panelist for Design Automation Program 2003. Publications Chair of ACM Great Lake Symposium on VLSI 2003. Session Chair of ISCAS: IEEE International Symposium on Circuits and Systems 2002. Session chair of ISPD: ACM International Symposium on Physical Design 2001, 2002. Technical Program Committees of ISPD: ACM International Symposium on Physical Design 2001, 2002 STUDENTS PhD Students: Chuan Lin, Debjit Sinha, Ruiming Chen, Jia Wang PUBLICATIONS Book chapter 1. H.-M. Chen, D. F. Wong, H. Zhou, F. Y. Young, H. H. Yang, and N. Sherwani. Integrated Floorplanning and Interconnect Planning. Layout Optimizations in VLSI Designs, D.-Z. Du, S. Sapatnekar, and B. Lu eds., Kluwer Academic Publishers. To appear.

Journal articles 2. H. Zhou and D. F. Wong. Optimal River Routing with Crosstalk Constraints. ACM Transactions on Design Automation of Electronic Systems, July 1998. 3. H. Zhou and D. F. Wong. Global Routing with Crosstalk Constraints. IEEE Transactions on Computer-Aided Design, 18(11), November, 1999. 4. H. Zhou, D. F. Wong, I-M. Liu, and A. Aziz. Simultaneous Routing and Buffer Insertion with Restrictions on Buffer Locations. IEEE Transactions on Computer-Aided Design, 19(7), July, 2000. 5. H. Zhou and A. Aziz. Buffer Minimization in Pass Transistor Logic. IEEE Transactions on Computer-Aided Design, 20(5), May, 2001. 6. H. Zhou, N. Shenoy, and W. Nicholls. Efficient Minimum Spanning Tree Construction without Delaunay Triangulation. Information Processing Letters, Vol. 81, No. 5, 2002. 7. A. Goel, K. Sajid, H. Zhou, A. Aziz, and V. Singhal. BDD-based Procedures for a Theory of Equality with Uninterpreted Functions. Journal of Formal Methods in System Design, 22(3), pp. 205-224, May 2003. 8. H. Zhou. Timing Analysis with Crosstalk is a Fixpoint on a Complete lattice. IEEE Transactions on Computer-Aided Design, 22(9), pp. 1261-1269, Sept. 2003. 9. H. Zhou. Efficient Steiner Tree Construction Based on Spanning Graphs. IEEE Transactions on Computer-Aided Design, 23(5), pp. 704-710, May 2004. 10. H. Zhou and C. Lin, Retiming for Wire Pipelining in System-On-Chip. IEEE Transactions on Computer-Aided Design, Sept. 2004. Conference papers 11. H. Zhou and D. F. Wong. An Optimal Algorithm for River Routing with Crosstalk Constraints. In International Conference on Computer Aided Design, San Jose, CA, November 1996. 12. C.-P. Chen, H. Zhou, and D. F. Wong. Optimal Non-uniform Wire-sizing under the Elmore Delay Model. In International Conference on Computer Aided Design, San Jose, CA, November 1996. 13. H. Zhou and D. F. Wong. An Exact Gate Decomposition Algorithm for Low-power Technology Mapping. In International Conference on Computer Aided Design, San Jose, CA, November 1997. 14. H. Zhou and D. F. Wong. Crosstalk Constrained Maze Routing Based on Lagrangian Relaxation. In IEEE International Conference on Computer Design, Austin, TX, October 1997.

15. H. Zhou and D. F. Wong. Global Routing with Crosstalk Constraints. In ACM Design Automation Conference, San Francisco, CA, June 1998. 16. A. Goel, K. Sajid, H. Zhou, A. Aziz, and V. Singhal. BDD Based Procedures for a Theory of Equality with Uninterpreted Functions. In International Conference on Computer Aided Verification, Vancouver, British Columbia, June 1998. 17. H. Zhou, V. Singhal, and A. Aziz. How Powerful is Retiming? In International Workshop on Logic Synthesis, Lake Tahoe, CA, May 1998. 18. I.-M. Liu, T.-H. Liu, H. Zhou, and A. Aziz. Simultaneous PTL Buffer Insertion and Sizing for Minimizing Elmore Delay. In International Workshop on Logic Synthesis, Lake Tahoe, CA, May 1998. 19. H. Zhou, D. F. Wong, I-M. Liu, and A. Aziz. Simultaneous Routing and Buffer Insertion with Restrictions on Buffer Locations. In ACM Design Automation Conference, New Orleans, LA, June 1999. 20. I-M. Liu, A. Aziz, D. F. Wong, and H. Zhou. An Efficient Buffer Insertion Algorithm for Large Networks Based on Lagrangian Relaxation. In IEEE International Conference on Computer Design, Austin, TX, October 1999. 21. H.-M. Chen, H. Zhou, F. Y. Young, D. F. Wong, H. H. Yang, and N. Sherwani. Integrated Floorplanning and Interconnect Planning. In IEEE International Conference on Computer Aided Design, San Jose, CA, November 1999. 22. H. Zhou and A. Aziz. Buffer Minimization in Pass Transistor Logic. In International Symposium on Physical Design, San Diego, CA, April 2000. 23. H. Zhou and D. F. Wong. Optimal Low Power XOR Gate Decomposition. In ACM Design Automation Conference, Los Angeles, CA, June 2000. 24. H. Zhou, N. Shenoy, and W. Nicholls. Efficient Minimum Spanning Tree Construction without Delaunay Triangulation. In Asian and South Pacific Design Automation Conference, Yokohama, Japan, January 2001. 25. H. Zhou, N. Shenoy, and W. Nicholls. Timing Analysis with Crosstalk as Fixpoints on Complete Lattice. In ACM Design Automation Conference, Las Vegas, NV, June 2001. 26. S. H. Batterywala, N. Shenoy, W. Nicholls, and H. Zhou. Track Assignment: A Desirable Intermediate Step Between Global Routing and Detailed Routing. In IEEE International Conference on Computer Aided Design, San Jose, CA, 2002. 27. H. Zhou. Clock Schedule Verification with Crosstalk. In Tau 02: ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Monterey, CA, 2002. 28. C. W. Sham, F. Y. Young, and H. Zhou. Interconnect-Driven Floorplanning by Searching Alternative Packings. In Asia and South Pacific Design Automation Conference, Kitakyushu, Japan, 2003.

29. H. Zhou. Timing Verification with Crosstalk for Transparently Latched Circuits. In DATE 03: Design Automation & Test in Europe, Munich, Germany, 2003. 30. H. Zhou. Efficient Steiner Tree Construction Based on Spanning Graphs. In ACM International Symposium on Physical Design, Monterey, CA, 2003. 31. C. Lin and H. Zhou. Retiming for Wire Pipelining in System-On-Chip. In IEEE International Conference on Computer Aided Design, San Jose, CA, 2003. (Nominated for best paper award) 32. Q. Zhu, H. Zhou, T. Jing, X. Hong, Y. Yang, Efficient Octilinear Steiner Tree Construction Based on Spanning Graphs. In Asia and South Pacific Design Automation Conference, Yokohama, Japan, 2004. 33. C. Lin and H. Zhou, Wire Retiming for System-On-Chip by Fixpoint Computation. In DATE 04: Design Automation & Test in Europe, Paris, France, 2004. 34. D. Sinha, H. Zhou, C.C.N. Chu, Optimal Gate Sizing for Coupling-Noise Reduction. In ACM International Symposium on Physical Design, Phoenix, AZ, 2004. 35. J. Wang and H. Zhou, Minimal Period Retiming under Process Variations. In ACM Great Lakes Symposium on VLSI, Boston, MA, 2004. 36. H. Zhou, A New Efficient Retiming Algorithm Derived by Formal Manipulation. In International Workshop on Logic Synthesis, Temecula, CA, 2004.