QuartusII.doc 25/02/2005 Page 1

Similar documents
UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

Tutorial on Quartus II Introduction Using Schematic Designs

Quartus II Introduction Using Schematic Design

FPGA Introductory Tutorial: Part 1

Laboratory Exercise 3

Terasic DE0 Field Programmable Gate Array (FPGA) Development Board

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

EXPERIMENT 1. INTRODUCTION TO ALTERA

Tutorial on Quartus II Introduction Using Verilog Code

Laboratory 4 Design a Muti-bit Counter

Engineering 303 Digital Logic Design Spring 2017

Tutorial for Altera DE1 and Quartus II

Quartus II Introduction Using Verilog Designs. 1 Introduction. For Quartus II 12.0

Quartus II Introduction Using Verilog Design

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008

QUARTUS II Altera Corporation

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

UNIVERSITI MALAYSIA PERLIS

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17

SCHEMATIC DESIGN IN QUARTUS

Laboratory 4 Design a Muti-bit Counter and Programming a FPGA

SOPC LAB1. I. Introduction. II. Lab contents. 4-bit count up counter. Advanced VLSI Due Wednesday, 01/08/2003

EE 231 Fall Lab 1: Introduction to Verilog HDL and Altera IDE

Chapter 2 Getting Hands on Altera Quartus II Software

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 6: Quartus II Tutorial and Practice. Name: Date:

Altera Quartus II Tutorial ECE 552

Tutorial 2 Implementing Circuits in Altera Devices

1 Introduction 2. 2 Background 3. 3 Getting Started 4. 4 Starting a New Project 6. 5 Design Entry Using VHDL Code 13

NIOS CPU Based Embedded Computer System on Programmable Chip

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London.

Physics 536 Spring Illustrating the FPGA design process using Quartus II design software and the Cyclone II FPGA Starter Board.

Introduction to VHDL Design on Quartus II and DE2 Board

EE 231 Fall EE 231 Lab 2

MaxPlus II Tutorial with Basic Graphical Gate Entry and Simulation Obtaining the MaxPlus Software: Example Problem: Y = A*/B + /C

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation

Quick Tutorial for Quartus II & ModelSim Altera

My First FPGA Design Tutorial

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston

E85: Digital Design and Computer Architecture J. Spjut and R. Wang Spring 2014

CET4805 Component and Subsystem Design II. EXPERIMENT # 2: VHDL(VHSIC Hardware Descriptive Language) Name: Date:

Laboratory Exercise 8

Lab 6: Integrated the Decoder with Muti-bit Counter and Programming a FPGA

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 10: Implementing Binary Adders. Name: Date:

DE2 Board & Quartus II Software

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25

Getting Started with Xilinx Design Tools and the XS 40 Prototyping Platform-- a User s Guide *

COSC 3215 Embedded Systems Laboratory

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II

CET4805 Component and Subsystem Design II. EXPERIMENT # 5: Adders. Name: Date:

Lab 2: Introduction to Verilog HDL and Quartus

Lab 2 EECE473 Computer Organization & Architecture University of Maine

CPLD Software Tutorial FOR ALTERA MAX+PLUS II

NIOS CPU Based Embedded Computer System on Programmable Chip

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory.

Lesson 18: Creating a Hierarchical Block

EE 210 Lab Assignment #2: Intro to PSPICE

Lesson 17: Building a Hierarchical Design

Xilinx Schematic Entry Tutorial

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

CHAPTER 1 INTRODUCTION... 1 CHAPTER 2 ASSIGN THE DEVICE... 7 CHAPTER 3 DESIGN ENTRY CHAPTER 4 COMPILE AND VERIFY YOUR DESIGN...

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000

TLL5000 Electronic System Design Base Module

Tutorial 3. Appendix D. D.1 Design Using Verilog Code. The Ripple-Carry Adder Code. Functional Simulation

Using Synplify Pro, ISE and ModelSim

EasySim. Educational Digital Simulator for Windows. User's Guide. Research Systems Pty. Ltd 15/1540 Main Road Research, Victoria 3095.

SignalTap II with Verilog Designs. 1 Introduction. For Quartus II 13.1

Xilinx Tutorial Basic Walk-through

Simulating a Design Circuit Using Qsim

How to Get Started. Figure 3

LAB 2: INTRODUCTION TO LOGIC GATE AND ITS BEHAVIOUR

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

Schematic Editing Essentials

Xilinx Project Navigator Reference Guide

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 7: VHDL and DE2 Board. Name: Date:

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

Step 1: Downloading the source files

Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus Prime 16.1

Programmable Logic Design Techniques I

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent.

Chip Design with FPGA Design Tools

PRELAB! Read the entire lab, and complete the prelab questions (Q1-Q3) on the answer sheet before coming to the laboratory.

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

NIOS CPU Based Embedded Computer System on Programmable Chip

Lesson 1: Getting Started with OrCAD Capture

Complete Tutorial (Includes Schematic & Layout)

Lab3: I/O Port Expansion

Programmable Logic Design I

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Lab3: I/O Port Expansion

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

Boise State University Digital Systems Laboratory

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

Lesson 5: Creating Heterogeneous Parts

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t

2001 by X Engineering Software Systems Corp., Apex, North Carolina 27502

Verilog Design Entry, Synthesis, and Behavioral Simulation

Transcription:

1 Start Icon... 2 1.1 The Quartus II Screen... 2 2 Project creation... 2 3 Schematic entry... 5 3.1 Create new drawing... 5 3.2 Symbol selection... 7 3.3 Placement of an AND gate... 8 3.4 Deleting a symbol... 8 3.5 Moving a symbol... 9 3.6 Selecting a group of symbols... 9 3.7 Drawing wires... 10 3.8 Adjusting a line... 11 3.9 Adding input/output points... 12 3.10 Using the zoom tool... 13 3.11 Saving files... 13 3.12 Closing a project... 14 3.13 Opening a project... 14 4 System compilation... 15 5 System Simulation... 17 QuartusII.doc 25/02/2005 Page 1

1 Start Icon 1.1 The Quartus II Screen 2 Project creation Select from menu Click the. check box to disable this dialogue box from appearing in future QuartusII.doc 25/02/2005 Page 2

Choose a fresh directory for each Quartus project Provide suitable name continue For simple projects it is unlikely that you will need to add files to the project. Click the button to continue. Quartus has all the features that you are likely to need, therefore click the button to continue. QuartusII.doc 25/02/2005 Page 3

For simple projects, Quartus II contains all the necessary EDA (Electronic Design Automation) tools for design and implementation of Altera FPGA based systems. Allow user to select a particular device. Select target family of devices Target list Click the continue. button to The two families of devices used in the department are Cyclone MAX7000S Click the button to continue. The devices used are : Cyclone o EP1C20F324C7 324 pins 7nS gate delay 20,000 flip-flop equivalent 256Kbits RAM Design of complex systems MAX7000S o EPM7128SLC84-15 84 pins 15nS gate delay 128 flip-flop equivalent Simple logic replacement EP1C20F324C7 Click the button to continue. QuartusII.doc 25/02/2005 Page 4

Quartus finishes the with a summary of your responses. Click the button to complete wizard. 3 Schematic entry 3.1 Create new drawing Create a new design file by clicking either the new file icon ( item. ) or the menu For schematic entry (i.e. diagrams using logic symbols) choose the Choose continue entry. The AHDL, Verilog, and VHDL allow the designer to describe the design in a computer language format. QuartusII.doc 25/02/2005 Page 5

The main work area now looks as follows Drawing area Project files Only one in this simple project. Drawing tools Temporary file! is created The main drawing tools are Text tool Block tool Orthogonal bus tool Use rubberbanding Zoom tool Find tool Selection and smart drawing tool Symbol tool Wire drawing tool (Orthogonal node tool) Orthogonal conduit tool Use partial line selection Full screen QuartusII.doc 25/02/2005 Page 6

3.2 Symbol selection Left click the symbol tool button to open the logic symbol listing. Click the symbol to get a list Symbol list Display area for selected components Primitives Description Notes buffer Specialised buffer functions logic other pin storage,,, and, symbols. Symbols with various numbers of inputs Ground and Vcc points. Schematic title area. Input Output Bidirectional D-type, JK, SR, Toggle, latch flipflops Standard logic symbols These can be connected to real input/output device pins Full range of flipflops QuartusII.doc 25/02/2005 Page 7

3.3 Placement of an AND gate Select gate Display area shows symbol selected check this box if you will be placing more than one of the symbols on your drawing Click to insert into drawing Left click mouse on your drawing area to place the symbol If using " left click to continue to place the required set of symbols Right click and to terminate process A quick way to bring up the symbol selection window is to double left click mouse when pointer is over the drawing area 3.4 Deleting a symbol First, select the appropriate symbol. To select, move mouse over symbol and left click mouse Press DEL key on keyboard QuartusII.doc 25/02/2005 Page 8

3.5 Moving a symbol Select symbol by moving mouse over symbol and clicking left mouse button $$" # " move object to required position then release button. If you wish to move symbols while maintaining all is connections then ensure that the system is set to # mode Click button. 3.6 Selecting a group of symbols A group of symbols can be selected by enclosing them in a bounding box. Move mouse to top corner of your bounded box and press left mouse button Resulting screen display Drag box to lower left cornet of box and release mouse button. Selected group can be either moved or deleted. QuartusII.doc 25/02/2005 Page 9

3.7 Drawing wires Left click button to set into wire drawing mode. Symbol wiring points To draw a wire between two points Wire drawing cursor Move cursor to end point and release mouse button Move wire drawing cursor to start point Press and continue to press left mouse button To exit wire drawing mode click the selection button. If two points are not in line then QuartusII will include right angle junctions in the connection. In normal symbol layout mode, it is possible to draw single wires. If you move the mouse cursor to a symbol terminal point, then the wire drawing cursor ( ) is activated. Draw line as normal. A junction between two lines is shown by a dot. junction Create a wire junction by drawing the wire to the line. A junction will be created automatically. crossover QuartusII.doc 25/02/2005 Page 10

3.8 Adjusting a line QuartusII drawn wires are not always take routes you would prefer. The lines can be moved and edited in a number of ways. 1. If # has been enabled (use symbols will also move the attached wires. icon), then moving the 2. Select segment of line to be moved by placing mouse on line and clicking left mouse button. Indicates end of segment Line with 3 segments Select segment place mouse over selected line and press left mouse button. Keep button pressed and drag line to required position. Release button when happy with position. 3. Delete line and redraw. Select line (as above), then press key. QuartusII.doc 25/02/2005 Page 11

3.9 Adding input/output points The input output points to circuits need to be marked for a number of reasons 1. input points for the injection of signals (for test) 2. output points to monitor results from the injection of test signals 3. to provide points which can be connected to real chip pins when the design is transferred to a physical FPGA device. Input/output pins are placed on your drawing in the same way as logic components Input pin A small wired circuit with 3 inputs and 1 output. 1 output pin 3 input pins Double left click to bring up pin name edit window QuartusII.doc 25/02/2005 Page 12

Specify suitable signal name continue 3.10 Using the zoom tool The zoom tool ( ) allows you to expand and contract your view of the drawing. Left click zoom tool icon. LEFT button RIGHT button Zoom IN, centred on position of mouse mouse Zoom OUT, centred on position of mouse Press selection tool ( ) to exit zoom mode. 3.11 Saving files On a regular basis use the %or the% menu options or the icon to save your design to disk. First execution with a new project will ask for a filename. The default name is often adequate for simple projects. QuartusII.doc 25/02/2005 Page 13

3.12 Closing a project When you have finished your work it is necessary to close the project. Use the & menu item. 3.13 Opening a project Use one of the following methods Select menu option ' " ( to access file pick dialogue. You will be looking for a ))))*"! file qpf = +uartus roject ile Select menu option, and left click on the appropriate entry. QuartusII.doc 25/02/2005 Page 14

4 System compilation The designed system must now be checked, which involves the use of the compiler tool. Invoke from the tools menu or by left clicking the compiler tool icon ( window appears ) on the top icon bar. The following progress Pressing start gives an indication of how the compilation is progressing. OK Problems! QuartusII.doc 25/02/2005 Page 15

A circuit with a wire missing Missing wire Gives this output in the lower information window Error caused by missing wire The concept of fault finding is central to many aspects of engineering. In general it is not easy, and requires the application of logical reasoning to the problem. Read the error messages carefully as these are the only pieces of evidence that you have to target the problem. QuartusII.doc 25/02/2005 Page 16

5 System Simulation Start simulator tool with menu item - #-. Set Functional mode start simulator open waveform editor window Right click mouse in this area to invoke selection mode select.#( option QuartusII.doc 25/02/2005 Page 17

Click here set filer to Pins: all request list of nodes Input/output nodes Example circuit 3 inputs 1 output QuartusII.doc 25/02/2005 Page 18