Optimal Built-In Self Repair Analyzer for Word-Oriented Memories

Similar documents
An Integrated ECC and BISR Scheme for Error Correction in Memory

An Area-Efficient BIRA With 1-D Spare Segments

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

A Proposed RAISIN for BISR for RAM s with 2D Redundancy

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

Optimized Built-In Self-Repair for Multiple Memories

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC)

An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM

Unique Journal of Engineering and Advanced Sciences Available online: Research Article

Content Addressable Memory with Efficient Power Consumption and Throughput

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

A Built-In Redundancy-Analysis Scheme for RAMs with 2D Redundancy Using 1D Local Bitmap

At-Speed Wordy-R-CRESTA Optimal Analyzer to Repair Word- Oriented Memories

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver

Jin-Fu Li Dept. of Electrical Engineering National Central University

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY

and self-repair for memories, and (iii) support for

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2

Novel Implementation of Low Power Test Patterns for In Situ Test

Block Sparse and Addressing for Memory BIST Application

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY

Improving Memory Repair by Selective Row Partitioning

FPGA Implementation of ALU Based Address Generation for Memory

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair

GLOBAL JOURNAL OF ENGINEERING SCIENCE AND RESEARCHES A NOVAL BISR APPROACH FOR EMBEDDED MEMORY SELF REPAIR G. Sathesh Kumar *1 & V.

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

the main limitations of the work is that wiring increases with 1. INTRODUCTION

Diagnostic Testing of Embedded Memories Using BIST

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory

Scan-Based BIST Diagnosis Using an Embedded Processor

Optimization of Task Scheduling and Memory Partitioning for Multiprocessor System on Chip

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores

WITH integrated circuits, especially system-on-chip

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis

Detecting and Correcting the Multiple Errors in Video Coding System

IMPLEMENTATION OF AN ADAPTIVE FIR FILTER USING HIGH SPEED DISTRIBUTED ARITHMETIC

ADVANCES in chip design and test technology have

Detecting and Correcting the Multiple Errors in Video Coding System

Reordering of Test Vectors Using Weighting Factor Based on Average Power for Test Power Minimization

TEST cost in the integrated circuit (IC) industry has

Design of an Efficient 128-Bit Carry Select Adder Using Bec and Variable csla Techniques

FPGA Based Low Area Motion Estimation with BISCD Architecture

Design of Low Power Wide Gates used in Register File and Tag Comparator

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

Very Large Scale Integration (VLSI)

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic

Implementation of Efficient Modified Booth Recoder for Fused Sum-Product Operator

Performance of Constant Addition Using Enhanced Flagged Binary Adder

Survey on Stability of Low Power SRAM Bit Cells

SSoCC'01 4/3/01. Specific BIST Architectures. Gert Jervan Embedded Systems Laboratory (ESLAB) Linköping University

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2

Low Power Cache Design. Angel Chen Joe Gambino

Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI 2

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods

Sram Cell Static Faults Detection and Repair Using Memory Bist

PIONEER RESEARCH & DEVELOPMENT GROUP

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Keerthiga D.S. and S. Bhavani

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

Design and Implementation of Built-in-Self Test and Repair

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR).

Design and Implementation of CVNS Based Low Power 64-Bit Adder

Delay and Optimization of Random Number Generator

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Three DIMENSIONAL-CHIPS

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

OPTIMIZING THE POWER USING FUSED ADD MULTIPLIER

Content Addressable Memory performance Analysis using NAND Structure FinFET

Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna

[Zeenath, 3(3): March, 2014] ISSN: Impact Factor: 1.852

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement

Design of Delay Efficient Carry Save Adder

A High Speed Design of 32 Bit Multiplier Using Modified CSLA

An Optimized Montgomery Modular Multiplication Algorithm for Cryptography

Architecture to Detect and Correct Error in Motion Estimation of Video System Based on RQ Code

Exploiting Unused Spare Columns to Improve Memory ECC

Transcription:

Optimal Built-In Self Repair Analyzer for Word-Oriented Memories B.Prabhakaran 1, J.Asokan 2, Dr.G.K.D.PrasannaVenkatesan 3 Post Graduate student- ME in Communication Systems 1, Assistant Professor 2,Vice Principal, Professor and Head 3 Department of E.C.E., PGP College of Engineering and technology, Namakkal, Tamilnadu, India Abstract This paper presentsoptimalbuilt-in self-repair analyzer using built in self repair tests with BSLFSR and PBCAM. According to this optimal built in self repair is advanced than existing methods because it is used for byte oriented memories and having error detection and correction methods. The proposed methods using the BSLFSRto reduce both the transition and the power consumption & PBCAM to reduce the searching time in MRA. The Must Repair Analyser enables the fault bits to do the error correction using spare wires.it requires only a single test, even in the worst case andsupports various types of word-oriented memories. Index Terms Built-in self repair test (BIST), memory test, redundancy allocation, repair analysis, spare allocation. A circuit is tested once and for all, with the hope that once the circuit is verified to be fault free it would not fail during its expected life-time, it is called offline testing. However, this assumption does not hold for modern day ICs, based on deep sub-micron technology, may develop failures even during operation within expected life time. To cater to this problem sometimes redundant circuitry are kept onchip which replace the faulty parts. To enable replacement of faulty circuitry, the ICs are tested before each time they startup. If a fault is found, a part of the circuit (having the fault) is replaced with a corresponding redundant circuit part (by re-adjusting connections). Testing a circuit every time before they startup, is called Built-In-Self-Test (BIST). Once BIST finds a fault, the readjustment in connections to replace the faulty part with a fault free one is a design problem. I. INTRODUCTION The design flow for a SOC aims to develop this hardware and software in parallel. Therefore, the overall SOC yield is dominated by the memory yield, and optimizing the memory yield plays a crucial role in the SOC environment. To improve the yield, memory arrays are usually equipped with spare elements, and external testers have been used to test the memory arrays and configure the spare elements. On the other hand, the SOC environment, combined with shrinking technology, allows us more area for on-chip test infrastructure at lower cost than before, which makes feasible a variety of built-in self test (BIST) and built-in self-repair (BISR) techniques for reducing the test time, and also it is to test the memory arrays. Fig.1. Basic Architecture of BIST In accordance with this trend, built-in redundancy allocation (BIRA) approaches have been proposed as part of BISR. The BISRA use parallel sub-analyzers, each of which evaluates a solution candidate. It has the sub-analyzers for all solution candidates, provides the optimal repair rate with a single test. The sub- Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1

analyzer consists of content addressable memory (CAM) of row with r entries (r is the number of repair rows) and a column CAM with c entries (c is the number ofrepair columns), and + subanalyzers. So, to reduce size in memories with many spare elements, subsequent studies have been analyzed with required algorithms needed and applied to design. Fig.2. Proposed on-chip infrastructure and must repair analyzer details. We propose a combinational circuit, which can be designed in various ways to meet the requirements for area and test time. For fast output generation, it can generate the next solution candidate in a single duty cycle. II.MUST REPAIR ANALYZER The Must Repair Analyzer (MRA) circuit diagram is as shown in Fig 2. It consists of a pair of CAMs for fault addresses, called the fault-list, and a pair of CAMs for a repair solution, called the solution record. The memory is repaired during testing by storing faulty addresses in registers. These addresses can be streamed out after test completion. Furthermore, the application started immediately after the memory BIST passes. In the fault-list, each CAM has one extra valid bit for each word, and the valid bits are initialized to 0 in the starting. Since the CAMs assert 1 at the valid bit position for write and match operation, only written entries can be matched. During the test, if the BIST engine detects a fault, it sends the fault address to the MRA on the fly through BIST_R_DUTAddr and BIST_C_DUTAddr, continues the test. The row (column) fault address is compared against row (column) CAM entries. The 100% normalized repair rate is called the optimal repair rate. The number of matched entries is efficiently counted by a parallel counter. If the number of the matched entries equals in the row (column) CAM, the must-repair condition and R_MustRepair row (column) indicated by the fault address satisfies(c_mustrepair) signal is asserted. If the fault address triggers neither the row nor column mustrepair condition, MRA writes the row and column address in the row and column CAMs, respectively An efficient implementation of a word-oriented memory of type where any faulty column can be replaced with an available spare column without any restriction shown in Fig.3. Fig.3. Column circuitry of a word-oriented memory. Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 2

III. SOLVER AND MRA OPERATIONS We propose a Built in infrastructure forbyte-oriented memories. Our repair analyzer requires only a single test and provides the optimal repair rate. The MRA consists of a pair of CAMs for fault addresses, called the fault-list, and a pair of CAMs for a repair solution, called the solution record. SOLVER include the address, faults on the address do not affect the final analysis any more. So, such faults do not need to be stored, and we can Fig.4. Solver details and MRA operation in the final analysis phase: If the fault address being read is not covered by the current solution, depending on R_Insertor C_Insert, the row or column fault address is added to the current solution. collect all necessary information for the final analysis during a single test. Once the must-repair analysis is done, BIST_Done signal is asserted and the final analysis report is started. In the final analysis, the SOLVER module controls over MRA. The operation of the SOLVER and the MRA in the final analysis phase is shown in Fig. 4. In the repair strategy, and the SOLVER generates the next repair strategy and asserts the RESTART signal generated directly from the current repair strategy by a combinational circuit called K-subset enumerator,when the RESTART signal becomes 1, the MRA restores the starting state, and the next repair strategy starts being evaluated. By this way, the SOLVER produces the solution space and can find a solution there. TABLE I BIT REPRESENTATIONS OF REPAIR STRATEGIES REPAIR STRATEGY CRRC CRCR CCRR RRCC RCRC RCCR BIT REPRESENTATION 0110 0101 0011 1100 1010 1001 V.BIT SWAPPING LFSR Low-transition linear feedback shifts register (LFSR) that is based on shift register observations about the output sequence of a conventional LFSR. The proposed design, called bit-swapping LFSR is composed of an LFSR and a 2 1 multiplexer. To generate test patterns for scan-based built-in self-tests reduces the number of transitions that occur at the scan-chain input during scan shift operation. So, it reduces the overall switching activity in the circuit under test during test applications. The BS-LFSR is combined with a scan-chain-ordering algorithm that orders the cells in a way that reduces the average and peak power in the test cycle or while scanning out a response to a signature analyzer. These techniques have animproved effect on average and peak-power reductions with negligible effect on fault coverage or test application time. The BS-LFSR is used with the proposed scan-chain-ordering algorithm, the average and peak powers are reduced. The bit-swapping linear feedback shift register that is based on a simple bit swapping technique applied to the output sequence of a conventional LFSR and Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 3

designed using a conventional LFSR and a 2 1 multiplexer. The BS-LFSR reduces the average and instantaneous weighted switching activity during test operation by reducing the number of transitions in the scan input of the circuit under test. effectively reduce the number of comparison operationsby half. Comparedwith the ones-count PB-CAM system, the experimental results showthat our proposed Block- XOR Pre computational CAM system can achieve greater power reductionwithout the need for a special CAM cell design shown in Table III. This implies thatour approach is more flexible and adaptive for general designs. Fig.5. Bit Swapping LFSR The BS-LFSR is improving the speed by increasing the transitions and reducing the power consumption shown in Table II. TABLE II COMPARISON TABLE FOR POWER CONSUMPTION Fig.6.Memory organization of the Pre computational based CAM architecture (PB-CAM) TABLE III COMPARISON TABLE FOR TIME DELAY VI.PRE COMPUTATIONAL CAM Content-addressable memory (CAM) is used in more applications,such as lookup tables, databases, associative computing, and networking,that require high-speed searches of its ability to improve applicationperformance by using parallel comparison reducing search time.even though the use of parallel comparison results in reduced search time. Italso significantly increases power consumption. We proposea Block-XOR approach for improving the efficiency of low power precomputationbased CAM (PB-CAM). By mathematical analysis PBCAM We have implemented the infrastructure in 130- nm technology for a memory array with four repair rows and four repair columns, and the operating frequency is 400 MHz and 600 cycles in memory array with experimental results in VII. VII.EXPERIMENTAL RESULTS The final simulation analysis performed with minor modifications is that given multiple faults within a Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 4

word andpossible ways to fix them; a repair row is used, or all faults are fixed by a repair column. Thus the fault bit in the word oriented memories are spotted and removed with reduced power consumption and time using BS-LFSR and PB-CAM respectively using the VHDL codings shown in Fig 7 and Fig 8 by Modelsim simulated output. Also, the power consumption and Time delay results are verified using Xilinx software. A. ERROR SPOTTING Fig.7. Error Spotting Spotted errors are removed using Solver circuit. B. ERROR REMOVING Fig.8.ErrorRemoving VIII.CONCLUSION We have proposed abuilt in infrastructure for repair analysis with the optimal repair rate for byte word oriented memories meet area, power and test time requirements. The optimal built in self repair analyzer used to spot and remove the errors in byte oriented memories with in a single test. The proposed methods using the BSLFSR to reduce both the transition and the power consumption to 113mW. This is achieved by using low-cost on-chip selection mechanisms, which are instrumental in very accurate and power reduction identification of failing rows andcolumns. The proposed methods using PBCAM is to search the fault addressesimmediately using precomputational circuits in MRA to reduce the searching time to 2.872ns. REFERENCES [1]T. Kawagoe, J. Ohtani, M. Niiro, and T. Ooishi, A built-in self-repairanalyzer (cresta) for embedded drams, in Proc. Int. Test Conf., 2000,pp. 567 574. [2] W. Jeong, I. Kang, K. Jin, and S. Kang, A fast built-in redundancyanalysis formemorieswith optimal repair rate using a line-based searchtree, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 17, no.12, pp. 1665 1678, Dec. 2009. [3] P. Oehler, A. Bosio, G. D. Natale, and S. Hellebrand, A modularmemory BIST for optimized memory repair, in Proc. Int. On-LineTest. Symp., 2008, pp. 171 172. [4]A. Ferris and G. Work, Memory circuit capable of replacing a faultycolumn with a spare column, U.S. Patent 5 163 023, Nov. 10, 1992. [5] X. Du and W.-T. Cheng, At-speed built-in selfrepair analyzer forembedded word-oriented memories, in Proc. Int. Conf. VLSI Design, 2004, pp. 895 900. [6] A. Sehgal, A. Dubey, E. Marinissen, C. Wouters, H. Vranken, and K.Chakrabarty, Redundancy modelling and array yield analysis for repairableembedded memories, IEE Proc. Comput. Digit. Techn., vol.152, no. 1, pp. 97 106, 2005. [7]B.FitzgeraldandE.Thoma, Circuit implementation of fusible redundant addresses on RAMs for productivity enhancement, IBM J. Res.Develop., vol. 24, no. 3, pp. 291 298, 1980. AUTHORS PROFILE [1] B.Prabhakaran, Post Graduate student- ME in Communication Systems at PGP College of Engineering and Technology, Namakkal. Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 5

[2] J. Ashokan., Assistant Professor / Electronics and communication and Engineering Department at PGP College of Engineering and Technology, Namakkal, Tamilnadu, India. Presently Research Scholar in Anna University, Chennai, India. [3] Dr. G. K. D. PrasannaVenkatesan, Completed Ph.D from College of Engineering, Anna University, Chennai, India. He is Currently Working as Vice- Principal, Professor and Head of Department of Electronics and Communication Engineering at PGP College of Engineering and Technology. Namakkal, Tamil nadu, India. His research interests includes Wireless Sensor Networks, 4G Wireless Networks, Cloud Computing, Adhoc Network, etc., Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 6