Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead

Size: px
Start display at page:

Download "Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead"

Transcription

1 Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Woosung Lee, Keewon Cho, Jooyoung Kim, and Sungho Kang Department of Electrical & Electronic Engineering, Yonsei University, Seoul Korea Abstract As the memory density and capacity grows, it is more likely that the number of defects increases. For this reason, in order to improve memory yield, repair analysis is widely used. Built-in redundancy analysis (BIRA) is regarded as one of the solutions to improve memory yield. However, the previous BIRA approaches require large hardware overhead to achieve an optimal repair rate. This is the main obstacle to use BIRA practically. Therefore, a new BIRA is proposed to reduce the hardware overhead significantly using spare allocation probability according to the number of faults on a sparse faulty line. The experimental results show that the hardware overhead of the proposed approach can be considerably reduced with slight loss of repair rate. Therefore, it can be used as a practical solution for BIRA. Keywords Built-in redundancy analysis (BIRA), Built-in Self Repair (BISR), yield improvement 1. Introduction As the memory capacity and density become large, the operating voltage becomes small and the produced memory cells become vulnerable to noise. These reasons cause that the number of faults in a memory set is increased. Therefore, maintaining acceptable quality and yield has become a key challenge. For this reason, redundancy analysis (RA) becomes a compulsory process on production of memory Many semiconductor companies have used RA to improve memory yield. RA requires external automatic test equipment (ATE) and additional in-out pins. But built-in redundancy analysis (BIRA) does not require these burden. There are two redundancy architectures: a onedimensional (1-D) and a two-dimensional (2-D) redundancy architectures. Although the RA using the 1-D redundancy architecture uses simple operation, many spares are required to get high yield. The 2-D redundancy architecture is NPcomplete problem [1], but it can achieve high yield using less spares than the 1-D redundancy architecture. Thus, the 2-D spare architecture has been mostly adapted for the efficient spare allocation [1]-[7]. For this reason, there are many BIRA approaches to optimize trade-off among features of BIRA which are repair rate, hardware overhead and analysis speed. Repair rate and hardware overhead are key factors in BIRA. The BIRA algorithms, which have an optimal repair rate, require large hardware overhead. However, it can repair every repairable faulty pattern, such as SFCC [2], BRANCH [3], and CRESTA [4]. Although heuristic BIRA algorithms have low repair rate, such BIRA algorithms, LRM and ESP [5], use small hardware overhead and simple operation method. In order to achieve an optimal repair rate, hardware overhead is increased because all faulty information should be stored in storage elements, such as register, and content addressable memory (CAM). In this paper, the proposed BIRA uses a probabilistic approach to achieve near optimal repair rate with small hardware overhead. It does not have to save all faulty information to reduce the number of storage elements. After fault collection, the proposed BIRA perform exhaustive search using limitedly collected faulty information. Therefore, the BIRA which has a near optimal repair rate and small hardware overhead is proposed. 2. Background 2.1. Classification of Faults As the 2-D spare architecture has been adapted, allocating spares becomes NP-complete problem. For this reason, the faults should be analyzed according to the locations of faults to solve NP-complete problem. So, an incoming fault should be compared with the previously saved faults before the incoming fault is saved for reducing the number of storage elements. For the efficient fault collection, faults are classified into three types for the proposed BIRA: pivot fault, sparse faulty line, and must repair faulty line. 1) Pivot fault: an incoming fault is defined as a pivot fault when it does not share a row and a column addresses with the previously saved faults. The reason why using pivot in BIRA is to reduce hardware overhead of BIRA by sharing the row or the column addresses of the saved pivot faults with an incoming fault. Therefore, many BIRA algorithms such as ESP, SFCC and BRANCH use the pivot fault concept to reduce overall hardware overhead. 2) Sparse faulty line: a row (column) line is defined as the sparse faulty lines when the number of faults on the lines is not only larger than 1 but also smaller than the number of available column (row) spares. A row (column) sparse faulty line can be repaired by a row (column) spare. Also, the faults on the row (column) sparse faulty line can be repaired by allocating separately column (row) spares to each fault on row (column) sparse faulty line. 3) Must repair faulty line: a line is defined as a must repair faulty line when it has more faults than the number of the available spares in the different side spare from the faulty line. The sparse faulty line cannot be covered by the different side spares unlike sparse faulty line due to the insufficient number of the other side spares to cover every fault on the line. Therefore, the must repair faulty line should be covered by the same side spares with its sparse faulty line type.

2 The BIRA algorithms, which detect must repair faulty lines during fault collection, do not need to save an incoming faults that are included in the must repair faulty lines. So, the hardware overhead of BIRA using these concepts can be reduced Previous Works CRESTA has parallel redundancy analyzers. It has not only an optimal repair rate but also fast analysis speed. However, it requires very large hardware overhead by increasing the number of spares, because it makes all possible repair cases during fault collection phase. On the other hand, BRANCH and SFCC have a single redundancy analyzer. Therefore, these algorithms require smaller hardware overhead than CRESTA. However, these algorithms also require large hardware overhead to get an optimal repair rate. Because getting an optimal repair rate requires saving all of faults information in storage element. Heuristic BIRA algorithms use small hardware overhead. ESP is one of heuristic BIRA algorithms. It has a very simple and intuitive operation. So, it requires small hardware overhead, but its repair rate is rapidly decreased by increasing the number of faults. LRM is also heuristic BIRA. It requires a 2-D bitmap to save the location of faults. It allocates spares according to repair most (RM) strategy [8]. However, it has a disadvantage. The repair rate of LRM is proportional to the user-defined bitmap size Tradeoff between Repair Rate and Hardware Overhead RA uses 2-D bitmap storage structure. It does not care about pivot or must repair sparse faulty line during fault collection phase due to sufficient 2-D bitmap size. On the other hand, BIRA takes too much cost to use 2-D bitmap like RA. Therefore, some BIRA algorithms use CAM to reduce storage cells [8]. The features of CAM can search specific information and compare the saved information with the incoming fault information in a single clock cycle. Although CAM is relatively larger than register, it can set a limit of the number of faults information by using the CAM characteristics. Consequently, overall hardware size can be reduced by using CAM. Also, in order to synchronize with Built-in self-test (BIST), most BIRA algorithms use CAM to concern the pivot fault and the must repair faulty line during fault collection phase in a single clock cycle. For this reason, BIST is not interrupted by BIRA operation, and also BIRA does not have troubles with continually faulty occurrences from BIST by the CAM characteristic. SFCC and BRANCH use CAM. However, the hardware overhead is exponentially increased to achieve an optimal repair rate as mentioned above. It is inevitable the degradation of RA speed or repair rate to reduce hardware overhead. 3. The Proposed BIRA 3.1. Hardware Architecture Faults should be saved at storage to analyze how allocate row and column spares after target memory test. Figure 1 shows a fault-storing CAM structure of the proposed BIRA. It is classified into Pivot CAM (PCAM) group and Reserved Figure 1: Fault-storing CAM structure of the proposed BIRA. register (RREG) group. Pivot faults are saved at PCAM lines by comparing an incoming fault with saved faults, whereas non pivot faults are saved at the RREG that is on the same PCAM line that has sharing a row or a column address. As Figure 1, there is only one RREG for the row or column of PCAM. Immediately allocate spare when an incoming fault has same sharing row or column address with the previously saved fault on RREG. The allocation is based in probability of spares allocation that the sparse faulty line that have more faults on the line has high probability. As PCAM gets row and column faults which are independent from the existing row and column addresses on the PCAM. It preserves candidates for searching exhaustive repair cases in redundancy analysis phase. Because every pivot fault should be covered by at least a row or a column spare. Also addresses of PCAM are shared a row or column with non-pivot fault, the hardware overhead of the proposed BIRA is reduced. Because a non pivot fault uses pivot addresses that is saved in PCAM to save the non shared address of the non pivot fault. In short, a row RREG shares a column address with the address of the same line PCAM column address, while a column RREG shares a row address with the row address of the same line RREG. is the number of row spares and is the number of column spares, respectively. The number of vertical lines of the PCAM structure is the sum of +. If the number of pivots is larger than +, the memory is not repairable. For this reason, the number of PCAM is +. At least one of the row or the column of a pivot fault should be covered by either a row or a column spare. It has the same manner with collecting pivot faults of ESP. M and N is the number of rows and columns for a target memory array, respectively. To discern each fault information, the row PCAM and the column PCAM can present M and N, respectively. Enable flags show whether or not the PCAM or RREG is occupied by some fault information. And repair flags show whether a spare allocates to the corresponding row or the corresponding column faults of the pivot Fault Collection In fault collection phase, BIRA should collect faulty information according to predefined rules. After fault collection, the collected faulty information should be analyzed to deduct the repair solution. Figure 2 shows a flow of the proposed fault collection. If a fault is detected by BIST, the proposed BIRA gets the fault

3 PM UX PM UX PM UX PM UX Figure 2: Flow of a fault collection phase. addresses. The fault is saved at the PCAM if it does not share addresses with the previously saved pivot faults. But when the number of pivot faults in a faulty pattern exceeds, then the faulty pattern is unrepairable. Otherwise, an incoming fault shares the row (column) address with the PCAM, the column (row) address of the incoming fault is saved at the RREG that is on the same line with the PCAM. However, when the corresponding RREG holds another fault, there is no sufficient storage to save the incoming non-pivot fault. In this case, the proposed BIRA makes a decision of a spare allocation to the corresponding row or column of the PCAM shared with the row or column with the RREG and the incoming fault. The pre-allocation of spares except must repair spare allocation before RA phase is the heuristic property of the proposed BIRA. In SFCC and BRACH, the pre-allocation is an only must repair case. Although its repair rate is slightly degraded by allocating spares heuristically, it makes hardware overhead of the proposed BIRA considerably reduce Redundancy Analysis Phase To achieve high repair rate, an exhaustive search is required in BIRA. Although the proposed BIRA has insufficient fault data, it uses exhaustive search using a single redundancy analyzer shown Figure 3. The single analyzer is similar with BRANCH single analyzer. The BRANCH single analyzer is used to find out a redundancy analysis solution using all fault information. It tries every pivot repair combination set, because every pivot saved at PCAM should be covered by at least either a row or a column spare. Also, it adaptively allocates unused spares using Count Uncovered Faulty module. Using the pivot based exhaustive search, BRANCH can get every possible solution that can be repairable. The proposed BIRA also uses the pivot based exhaustive search. So, it can also get any solution that can be repairable using limitedly collected faults information. As a result, the proposed BIRA achieves a near optimal repair rate and the single analyzer of the proposed BIRA is simpler than that of BRANCH. Figure 3: Single redundancy analyzer. Figure 3 shows a single redundancy analyzer in the memory which has two row spares and two column spares. PCAM and RREG are connected to each multiplexer. The multiplexers connected to select a row or a column address of each pivot by the control signal shown in Figure 3. Using the control signal spares are allocated for each pivot fault as mentioned above. The width of the control signal is. Each bit value of the control signal, 1 or 0, means a row or a column of the PCAM. The values of the control signal is the corresponding a row or a col PCAM address. For example, when a control signal is 1100, 1st and 2nd PCAM is covered by row spares, while 3rd and 4th PCAM is covered by column spares. At each clock, using the values of the control signal the above process is iterated until the single redundancy analyzer finds out an RA solution. A target memory is unrepairable when all patterns of the control signal are tried. The control signal is connected to the PCAM multiplexers (PMUX), to select the repaired address among PCAM. On the contrary, it is also connected to the RREG multiplexer (), to select the unrepaired address among RREG. The unselected RREG faults are automatically repaired by covering row or column address on PCAM according to control signal. The covered addresses from PCAM and the uncovered addresses from RREG are compared in XOR gates to check whether the uncovered addresses is equal to the covered address or not. When the uncovered address is equal among the covered addresses, the uncovered address is considered. Also, a control signal pattern goes to Repair tag Check module to check whether the control signal is match with Repair tag or not. When it does not match with Repair tag Count Uncovered Sparse Faulty Line module checks the number of unused PCAM to check the number of redundancy spares. After then, unallocated spares are allocated to uncovered sparse faulty lines. A target memory is unrepairable when the every pattern of the control signal is attempted. These processes take at most!/!! clock cycles. As the proposed BIRA find the solution

4 The normalized repair rate The normalized repair rate The number of faults Sr=3, Sc=3 Sr=5, Sc=5 Branch analysis, CRESTA, SFCC ESP RM Proposed The number of faults Figure 4: The experimental result of the normalized repair rate using exhaustive search base on limited information, we can achieve high repair rate. It is called partial exhaustive search (PES) 4. Experimental Results In order to estimate the repair rate of the proposed BIRA, a BIRA simulator is used. It generates random faulty patterns and applies the simulation models of the proposed BIRA and the existing BIRA algorithms. The experiments are performed until the number of repairable cases becomes ten thousands. The normalized repair rates of the experiments are shown in Figure 4. It shows normalized repair rate (1) of ESP, RM, BRANCH and the proposed BIRA. Normalized repair rate # # (1) The proposed BIRA has a near optimal repair rate at the both different spare cases, 3 & 3 and 5 & 5. On the other hands, the repair rate of LRM and ESP rapidly decreases as the number of faults increases. Figure 5 shows the hardware overhead of ESP, RM (maximum bitmap size of LRM), BRANCH and the proposed BIRA according to the number of spares. To estimate the hardware overhead, the number of required storage elements should be calculated since the hardware overhead of BIRA is dominated by the number of storage cells. For this reason, the hardware overhead of the proposed BIRA can be estimated as Hardware overhead Branch analysis CRESTA SFCC ESP RM Proposed The number of row and column spares Figure 5: Hardware overhead estimation with different row and column spares. (M=1024, N=1024) (2). log log 3 log log (2) The hardware overhead of the proposed BIRA is small and linearly increases as the number of spares increases. However the hardware overhead of the BIRA algorithms with an optimal repair rate exponentially increases. Because, the BIRA having an optimal repair rate should save all of faults information during fault collection phase. 5. Conclusion The proposed approach uses the probability of spares allocation according to the number of spares in the sparse faulty line. The previous BIRA approaches, which has an optimal repair rate require too much hardware overhead. It can be regarded as a waste because all faults should be saved to achieve an optimal repair rate. Also, the previous heuristic BIRA approaches show the rapid decrease of repair rate as the number of faults increases. So the proposed BIRA uses a partial exhaustive search using the probability approach. It has the characteristics of both exhaustive search and heuristic spare allocation. Experimental results show that the proposed BIRA has a near optimal repair rate and a small hardware overhead. Therefore, it can be a proper solution to support the embedded memory in system-on-chip. 6. Acknowledgement This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea government (MEST) (No. 2012R1A2A1A ). 7. References [1] S.-K. Lu, Y.-C. Tsai, C.-H. Hsu, K.-H. Wang, and C.- W. Wu, Efficient built-in redundancy analysis for embedded memories with 2-D redundancy, IEEE Trans. Very Large Scale Integr., vol. 4, no. 1, pp , Jan [2] W. Jeong, I. Kang, K. Jin, and S. Kang, A fast built-in redundancy analysis for memories with optimal repair rate using a line-based search tree, IEEE Trans. Very Large Scale Integr. Syst., vol. 17, no. 12, pp , Dec [3] W. Jeong, J. Lee, T. Han, K. Lee, and S. Kang, An advanced BIRA for memories with an optimal repair

5 rate and fast analysis speed by using a Branch, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 29, no. 12, pp , Dec [4] T. Kawagoe, J. Ohtani, M. Niiro, T. Ooishi, M. Hamada, and H. Hidaka, A built-in self repair analyzer (CRESTA) for embedded DRAMs in Proc. Int. Test Conf., Oct. 2000, pp [5] C.-T. Huang, C.-F. Wu, J.-F. Li, and C.-W. Wu, Built-in redundancy analysis for memory yield improvement, IEEE Trans. Reliab., vol. 52, no. 12, pp , Dec [6] J. R. Day, A fault-driven comprehensive redundancy algorithm, IEEE Design Test, vol. 2, no. 3, pp , Jun [7] T.-W. Tseng and J.-F. Li, A low-cost built-in redundancy-analysis scheme for word-oriented RAMs with 2-D redundancy, IEEE Trans. Very Large Scale Integr. Syst., vol. 19, no. 11, pp , Nov [8] K. Pagiamtzis and A. Sheikholeslami, Contentaddressable memory (CAM) circuits and architectures: A tutorial and survey, IEEE J. Solid-State Circuits, vol. 41, no. 3, pp , Mar

An Area-Efficient BIRA With 1-D Spare Segments

An Area-Efficient BIRA With 1-D Spare Segments 206 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 1, JANUARY 2018 An Area-Efficient BIRA With 1-D Spare Segments Donghyun Kim, Hayoung Lee, and Sungho Kang Abstract The

More information

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

3D Memory Formed of Unrepairable Memory Dice and Spare Layer 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei

More information

AS THE capacity and density of memory gradually

AS THE capacity and density of memory gradually 844 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 3, MARCH 2017 Hardware-Efficient Built-In Redundancy Analysis for Memory With Various Spares Jooyoung Kim, Woosung Lee,

More information

Built-in i Repair Analysis 2010. 10. 20 Woosik.jeong@hynix.com Contents 1. RA 2. BIRA 3. Previous Works 4. Summary 1/ 38 1. RA 2. BIRA 3. Previous Works 4. Summary 2/ 38 Repair What is Repair? Replacing

More information

Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture

Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture , July 4-6, 2012, London, U.K. Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture Štefan Krištofík, Elena Gramatová, Member, IAENG Abstract Capacity and density of embedded

More information

At-Speed Wordy-R-CRESTA Optimal Analyzer to Repair Word- Oriented Memories

At-Speed Wordy-R-CRESTA Optimal Analyzer to Repair Word- Oriented Memories , pp.269-280 http://dx.doi.org/10.14257/ijhit.2013.6.6.24 At-peed Wordy-R-CRETA Optimal Analyzer to Repair Word- Oriented Memories Rahebeh Niaraki Asli, hahin Khodadadi and Payam Habiby University of Guilan,

More information

Optimized Built-In Self-Repair for Multiple Memories

Optimized Built-In Self-Repair for Multiple Memories Optimized Built-In Self-Repair for Multiple Memories Abstract: A new built-in self-repair (BISR) scheme is proposed for multiple embedded memories to find optimum point of the performance of BISR for multiple

More information

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Shyue-Kung Lu and Shih-Chang Huang Department of Electronic Engineering Fu Jen Catholic University Hsinchuang, Taipei, Taiwan 242, R.O.C.

More information

A Built-In Redundancy-Analysis Scheme for RAMs with 2D Redundancy Using 1D Local Bitmap

A Built-In Redundancy-Analysis Scheme for RAMs with 2D Redundancy Using 1D Local Bitmap A Built-In Redundancy-Analysis Scheme for RAMs with D Redundancy Using D Local Bitmap Tsu-Wei Tseng, Jin-Fu Li, and Da-Ming Chang Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering

More information

A Proposed RAISIN for BISR for RAM s with 2D Redundancy

A Proposed RAISIN for BISR for RAM s with 2D Redundancy A Proposed RAISIN for BISR for RAM s with 2D Redundancy Vadlamani Sai Shivoni MTech Student Department of ECE Malla Reddy College of Engineering and Technology Anitha Patibandla, MTech (PhD) Associate

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

Optimal Built-In Self Repair Analyzer for Word-Oriented Memories

Optimal Built-In Self Repair Analyzer for Word-Oriented Memories Optimal Built-In Self Repair Analyzer for Word-Oriented Memories B.Prabhakaran 1, J.Asokan 2, Dr.G.K.D.PrasannaVenkatesan 3 Post Graduate student- ME in Communication Systems 1, Assistant Professor 2,Vice

More information

BUILT IN REDUNDANCY ALGORITHMS FOR MEMORY YIELD ENHANCEMENT

BUILT IN REDUNDANCY ALGORITHMS FOR MEMORY YIELD ENHANCEMENT International Journal of Electronics and Communication Engineering and Technology (IJECET) Volume 9, Issue 3, May-June 2018, pp. 13 22, Article ID: IJECET_09_03_002 Available online at http://www.iaeme.com/ijecet/issues.asp?jtype=ijecet&vtype=9&itype=3

More information

THREE algorithms suitable for built-in redundancy analysis

THREE algorithms suitable for built-in redundancy analysis 386 IEEE TRANSACTIONS ON RELIABILITY, VOL. 52, NO. 4, DECEMBER 2003 Built-In Redundancy Analysis for Memory Yield Improvement Chih-Tsun Huang, Member, IEEE, Chi-Feng Wu, Member, IEEE, Jin-Fu Li, Member,

More information

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement Chin-LungSu,Yi-TingYeh,andCheng-WenWu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

Jin-Fu Li. Department of Electrical Engineering National Central University Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering National Central University Jhongli, Taiwan Yield eda and Reliability- Enhancement Techniques for Random Access Memories Jin-Fu Li Advanced d Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli,

More information

An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy

An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy Philipp Öhler and Sybille Hellebrand University of Paderborn Germany {oehler,hellebrand}@uni-paderborn.de Hans-Joachim Wunderlich

More information

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY 1 K Naveen, 2 AMaruthi Phanindra, 3 M Bhanu Venkatesh, 4 M Anil Kumar Dept. of Electronics and Communication Engineering, MLR Institute

More information

Block Sparse and Addressing for Memory BIST Application

Block Sparse and Addressing for Memory BIST Application Block Sparse and Addressing for Memory BIST Application Mohammed Altaf Ahmed 1, D Elizabath Rani 2 and Syed Abdul Sattar 3 1 Dept. of Electronics & Communication Engineering, GITAM Institute of Technology,

More information

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy *GUDURU MALLIKARJUNA **Dr. P. V.N.REDDY * (ECE, GPCET, Kurnool. E-Mailid:mallikarjuna3806@gmail.com) ** (Professor,

More information

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 Department of Electronics and Communication Engineering St. Martins Engineering

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy A. Sharone Michael.1 #1, K.Sivanna.2 #2 #1. M.tech student Dept of Electronics and Communication,

More information

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2 Proceedings of International Conference on Emerging Trends in Engineering & Technology (ICETET) 29th - 30 th September, 2014 Warangal, Telangana, India (SF0EC009) ISSN (online): 2349-0020 Efficient BISR

More information

P2FS: supporting atomic writes for reliable file system design in PCM storage

P2FS: supporting atomic writes for reliable file system design in PCM storage LETTER IEICE Electronics Express, Vol.11, No.13, 1 6 P2FS: supporting atomic writes for reliable file system design in PCM storage Eunji Lee 1, Kern Koh 2, and Hyokyung Bahn 2a) 1 Department of Software,

More information

SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION

SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION ABSTRACT Harikishore.Kakarla 1, Madhavi Latha.M 2 and Habibulla Khan 3 1, 3 Department of ECE, KL University,

More information

Efficient Repair Rate Estimation of Redundancy Algorithms for Embedded Memories

Efficient Repair Rate Estimation of Redundancy Algorithms for Embedded Memories , July 3 5, 2013, London, U.K. Efficient Repair Rate Estimation of Redundancy Algorithms for Embedded Memories Štefan Krištofík, Member, IAENG Abstract One important feature of redundancy analysis (RA)

More information

Fully Programmable Memory BIST for Commodity DRAMs

Fully Programmable Memory BIST for Commodity DRAMs Fully Programmable BIST for Commodity DRAMs Ilwoong Kim, Woosik Jeong, Dongho Kang, and Sungho Kang To accomplish a high-speed test on low-speed automatic test equipment (ATE), a new instruction-based

More information

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair C. Padmini Assistant Professor(Sr.Grade), ECE Vardhaman college of Engineering, Hyderabad, INDIA

More information

Jin-Fu Li Dept. of Electrical Engineering National Central University

Jin-Fu Li Dept. of Electrical Engineering National Central University Memory Built-In Self-Repair Dept. of Electrical Engineering National Central University Jungli, Taiwan Introduction Outline Redundancy Organizations Built-In Redundancy Analysis Built-In Self-Repair Infrastructure

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC)

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) Mr. D. Sri Harsha 1, Mr. D. Surendra Rao 2 1 Assistant Professor, Dept. of ECE, GNITC, Hyderabad

More information

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY Saroja pasumarti, Asst.professor, Department Of Electronics and Communication Engineering, Chaitanya Engineering

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic International Journal of Engineering and Applied Sciences (IJEAS) A Review paper on the Memory Built-In Self-Repair with Redundancy Logic Er. Ashwin Tilak, Prof. Dr.Y.P.Singh Abstract The Present review

More information

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY 2016 1219 Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores Taewoo

More information

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup Yan Sun and Min Sik Kim School of Electrical Engineering and Computer Science Washington State University Pullman, Washington

More information

Test/Repair Area Overhead Reduction for Small Embedded SRAMs

Test/Repair Area Overhead Reduction for Small Embedded SRAMs Test/Repair Area Overhead Reduction for Small Embedded SRAMs Baosheng Wang and Qiang Xu ATI Technologies Inc., 1 Commerce Valley Drive East, Markham, ON, Canada L3T 7X6, bawang@ati.com Dept. of Computer

More information

A Spatial Point Pattern Analysis to Recognize Fail Bit Patterns in Semiconductor Manufacturing

A Spatial Point Pattern Analysis to Recognize Fail Bit Patterns in Semiconductor Manufacturing A Spatial Point Pattern Analysis to Recognize Fail Bit Patterns in Semiconductor Manufacturing Youngji Yoo, Seung Hwan Park, Daewoong An, Sung-Shick Shick Kim, Jun-Geol Baek Abstract The yield management

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes 1 U.Rahila Begum, 2 V. Padmajothi 1 PG Student, 2 Assistant Professor 1 Department Of

More information

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Jin-Hyun Kang Semiconductor Systems Department Sungkyunkwan University Suwon, Korea, 16419 kangjin13@skku.edu

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

High-Yield Repairing Algorithms for 2D Memory with Clustered Faults

High-Yield Repairing Algorithms for 2D Memory with Clustered Faults High-Yield Repairing Algorithms for 2D Memory with Clustered Faults Tsung-Chu Huang ( 黃宗柱 ) Department of Electronic Engineering National Changhua University of Education 2011/05/20 @CSE.NCHU Outline Introduction

More information

A novel test access mechanism for parallel testing of multi-core system

A novel test access mechanism for parallel testing of multi-core system LETTER IEICE Electronics Express, Vol.11, No.6, 1 6 A novel test access mechanism for parallel testing of multi-core system Taewoo Han, Inhyuk Choi, and Sungho Kang a) Dept of Electrical and Electronic

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Dr. R.K. Sharma and Aditi Sood Abstract As embedded memory area on-chip is increasing

More information

Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna

Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna Abstract---Bloom filters (BFs) provide a fast and efficient way to check whether a given element belongs to a set.

More information

and self-repair for memories, and (iii) support for

and self-repair for memories, and (iii) support for A BIST Implementation Framework for Supporting Field Testability and Configurability in an Automotive SOC Amit Dutta, Srinivasulu Alampally, Arun Kumar and Rubin A. Parekhji Texas Instruments, Bangalore,

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

Digital Filter Synthesis Considering Multiple Adder Graphs for a Coefficient

Digital Filter Synthesis Considering Multiple Adder Graphs for a Coefficient Digital Filter Synthesis Considering Multiple Graphs for a Coefficient Jeong-Ho Han, and In-Cheol Park School of EECS, Korea Advanced Institute of Science and Technology, Daejeon, Korea jhhan.kr@gmail.com,

More information

Content Addressable Memory with Efficient Power Consumption and Throughput

Content Addressable Memory with Efficient Power Consumption and Throughput International journal of Emerging Trends in Science and Technology Content Addressable Memory with Efficient Power Consumption and Throughput Authors Karthik.M 1, R.R.Jegan 2, Dr.G.K.D.Prasanna Venkatesan

More information

Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost

Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost IEEE TRANSACTIONS ON COMPUTERS, VOL. 67, NO. 12, DECEMBER 2018 1835 Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost Inhyuk Choi, Hyunggoy Oh, Young-Woo Lee, and Sungho Kang, Senior

More information

GLOBAL JOURNAL OF ENGINEERING SCIENCE AND RESEARCHES A NOVAL BISR APPROACH FOR EMBEDDED MEMORY SELF REPAIR G. Sathesh Kumar *1 & V.

GLOBAL JOURNAL OF ENGINEERING SCIENCE AND RESEARCHES A NOVAL BISR APPROACH FOR EMBEDDED MEMORY SELF REPAIR G. Sathesh Kumar *1 & V. GLOBAL JOURNAL OF ENGINEERING SCIENCE AND RESEARCHES A NOVAL BISR APPROACH FOR EMBEDDED MEMORY SELF REPAIR G. Sathesh Kumar *1 & V. Saminadan 2 *1 Research Scholar, Department of ECE, Pondcherry Engineering

More information

Global Built-In Self-Repair for 3D Memories with Redundancy Sharing and Parallel Testing

Global Built-In Self-Repair for 3D Memories with Redundancy Sharing and Parallel Testing Global Built-In Self-Repair for 3D Memories with Redundancy Sharing and Parallel Testing Xiaodong Wang 1 Dilip Vasudevan Hsien-Hsin S. Lee xw285@cornell.edu dv2@cs.ucc.ie leehs@gatech.edu School of Electrical

More information

A Universal Test Pattern Generator for DDR SDRAM *

A Universal Test Pattern Generator for DDR SDRAM * A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect

More information

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering,

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering, Diagnostic and Detection Fault Collapsing for Multiple Output Circuits Raja K. K. R. Sandireddy Dept. Of Electrical and Computer Engineering, Auburn University, Auburn AL-36849 USA Outline Introduction

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 56, NO. 1, JANUARY 2009 81 Bit-Level Extrinsic Information Exchange Method for Double-Binary Turbo Codes Ji-Hoon Kim, Student Member,

More information

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

Area Efficient Z-TCAM for Network Applications

Area Efficient Z-TCAM for Network Applications Area Efficient Z-TCAM for Network Applications Vishnu.S P.G Scholar, Applied Electronics, Coimbatore Institute of Technology. Ms.K.Vanithamani Associate Professor, Department of EEE, Coimbatore Institute

More information

A Robust Bloom Filter

A Robust Bloom Filter A Robust Bloom Filter Yoon-Hwa Choi Department of Computer Engineering, Hongik University, Seoul, Korea. Orcid: 0000-0003-4585-2875 Abstract A Bloom filter is a space-efficient randomized data structure

More information

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Mr. Rakesh Manukonda M.Tech. in VLSI &ES, MLEC, Singarayakonda, Mr. Suresh Nakkala Asst. Prof. in E.C.E MLEC,

More information

Implementation of SCN Based Content Addressable Memory

Implementation of SCN Based Content Addressable Memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 4, Ver. II (Jul.-Aug. 2017), PP 48-52 www.iosrjournals.org Implementation of

More information

Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes P. Kalai Mani, V. Vishnu Prasath PG Student, Department of Applied Electronics, Sri Subramanya College of Engineering

More information

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Jinkyu Lee and Nur A. Touba Computer Engineering Research Center University of Teas, Austin, TX 7872 {jlee2, touba}@ece.uteas.edu

More information

Optimized CAM Design

Optimized CAM Design Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2640-2645 ISSN: 2249-6645 Optimized CAM Design S. Haroon Rasheed 1, M. Anand Vijay Kamalnath 2 Department of ECE, AVR & SVR E C T, Nandyal, India Abstract: Content-addressable

More information

A New Scan Chain Fault Simulation for Scan Chain Diagnosis

A New Scan Chain Fault Simulation for Scan Chain Diagnosis JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.7, NO.4, DECEMBER, 2007 221 A New Scan Chain Fault Simulation for Scan Chain Diagnosis Sunghoon Chun, Taejin Kim, Eun Sei Park, and Sungho Kang Abstract

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Design of a High Speed FPGA-Based Classifier for Efficient Packet Classification

Design of a High Speed FPGA-Based Classifier for Efficient Packet Classification Design of a High Speed FPGA-Based Classifier for Efficient Packet Classification V.S.Pallavi 1, Dr.D.Rukmani Devi 2 PG Scholar 1, Department of ECE, RMK Engineering College, Chennai, Tamil Nadu, India

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Storage Architecture and Software Support for SLC/MLC Combined Flash Memory

Storage Architecture and Software Support for SLC/MLC Combined Flash Memory Storage Architecture and Software Support for SLC/MLC Combined Flash Memory Soojun Im and Dongkun Shin Sungkyunkwan University Suwon, Korea {lang33, dongkun}@skku.edu ABSTRACT We propose a novel flash

More information

Low Power Cache Design. Angel Chen Joe Gambino

Low Power Cache Design. Angel Chen Joe Gambino Low Power Cache Design Angel Chen Joe Gambino Agenda Why is low power important? How does cache contribute to the power consumption of a processor? What are some design challenges for low power caches?

More information

Available online at ScienceDirect. Procedia Technology 25 (2016 )

Available online at  ScienceDirect. Procedia Technology 25 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Technology 25 (2016 ) 544 551 Global Colloquium in Recent Advancement and Effectual Researches in Engineering, Science and Technology (RAEREST

More information

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM B.HARIKRISHNA 1, DR.S.RAVI 2 1 Sathyabama Univeristy, Chennai, India 2 Department of Electronics Engineering, Dr. M. G. R. Univeristy, Chennai,

More information

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN Implementation of EDDR Architecture for High Speed Motion Estimation Testing Applications A.MADHAV KUMAR #1, S.RAGAHAVA RAO #2,B.V.RAMANA #3, V.RAMOJI #4 ECE Department, Bonam Venkata Chalamayya Institute

More information

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 PP 11-18 www.iosrjen.org Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory S.Parkavi (1) And S.Bharath

More information

A Built-In Self-Repair Scheme for Semiconductor Memories with 2-D Redundancy

A Built-In Self-Repair Scheme for Semiconductor Memories with 2-D Redundancy A Built-In Self-Repair Scheme for Semiconductor Memories with 2-D Redundancy Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan 320 Jen-Chieh Yeh, Rei-Fu Huang, and

More information

COSC 6385 Computer Architecture - Memory Hierarchies (II)

COSC 6385 Computer Architecture - Memory Hierarchies (II) COSC 6385 Computer Architecture - Memory Hierarchies (II) Edgar Gabriel Spring 2018 Types of cache misses Compulsory Misses: first access to a block cannot be in the cache (cold start misses) Capacity

More information

A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies

A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies Abstract: Performance degradation tolerance (PDT) has been shown to be able to effectively improve the yield, reliability,

More information

SRAM Delay Fault Modeling and Test Algorithm Development

SRAM Delay Fault Modeling and Test Algorithm Development SRAM Delay Fault Modeling and Test Algorithm Development Rei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, and Cheng-Wen Wu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design

Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design Ting-Sheng Chen, Ding-Yuan Lee, Tsung-Te Liu, and An-Yeu (Andy) Wu Graduate Institute of Electronics Engineering, National Taiwan

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

FPGA Based Low Area Motion Estimation with BISCD Architecture

FPGA Based Low Area Motion Estimation with BISCD Architecture www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 3 Issue 10 October, 2014 Page No. 8610-8614 FPGA Based Low Area Motion Estimation with BISCD Architecture R.Pragathi,

More information

The Serial Commutator FFT

The Serial Commutator FFT The Serial Commutator FFT Mario Garrido Gálvez, Shen-Jui Huang, Sau-Gee Chen and Oscar Gustafsson Journal Article N.B.: When citing this work, cite the original article. 2016 IEEE. Personal use of this

More information

Ternary Content Addressable Memory Types And Matchline Schemes

Ternary Content Addressable Memory Types And Matchline Schemes RESEARCH ARTICLE OPEN ACCESS Ternary Content Addressable Memory Types And Matchline Schemes Sulthana A 1, Meenakshi V 2 1 Student, ME - VLSI DESIGN, Sona College of Technology, Tamilnadu, India 2 Assistant

More information

Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems

Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems Paul Ampadu, Meilin Zhang Dept. of Electrical and Computer Engineering University of Rochester Rochester, NY, 14627, USA

More information

A Low-Power ECC Check Bit Generator Implementation in DRAMs

A Low-Power ECC Check Bit Generator Implementation in DRAMs 252 SANG-UHN CHA et al : A LOW-POWER ECC CHECK BIT GENERATOR IMPLEMENTATION IN DRAMS A Low-Power ECC Check Bit Generator Implementation in DRAMs Sang-Uhn Cha *, Yun-Sang Lee **, and Hongil Yoon * Abstract

More information

Single error correction, double error detection and double adjacent error correction with no mis-correction code

Single error correction, double error detection and double adjacent error correction with no mis-correction code This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Single error correction, double error detection

More information

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY Latha A 1, Saranya G 2, Marutharaj T 3 1, 2 PG Scholar, Department of VLSI Design, 3 Assistant Professor Theni Kammavar Sangam College Of Technology, Theni,

More information

An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time

An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time 38 IEEE TRANSACTIONS ON COMPUTERS, VOL. 66, NO. 1, JANUARY 2017 An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time Hyunggoy Oh, Taewoo Han, Inhyuk Choi, and Sungho Kang, Member, IEEE

More information

A Novel Massively Parallel Testing Method Using Multi-Root for High Reliability

A Novel Massively Parallel Testing Method Using Multi-Root for High Reliability IEEE TRANSACTIONS ON RELIABILITY 1 A Novel Massively Parallel Testing Method Using Multi-Root for High Reliability Haksong Kim, Yong Lee, and Sungho Kang, Member, IEEE Abstract Wafer testing (wafer sort)

More information

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University Self-Repair for Robust System Design Yanjing Li Intel Labs Stanford University 1 Hardware Failures: Major Concern Permanent: our focus Temporary 2 Tolerating Permanent Hardware Failures Detection Diagnosis

More information

Sram Cell Static Faults Detection and Repair Using Memory Bist

Sram Cell Static Faults Detection and Repair Using Memory Bist Sram Cell Static Faults Detection and Repair Using Memory Bist Shaik Moulali *, Dr. Fazal Noor Bhasha, B.Srinivas, S.Dayasagar chowdary, P.Srinivas, K. Hari Kishore Abstract Memories are one of the most

More information

Parallel graph traversal for FPGA

Parallel graph traversal for FPGA LETTER IEICE Electronics Express, Vol.11, No.7, 1 6 Parallel graph traversal for FPGA Shice Ni a), Yong Dou, Dan Zou, Rongchun Li, and Qiang Wang National Laboratory for Parallel and Distributed Processing,

More information

Implementation of metadata logging and power loss recovery for page-mapping FTL

Implementation of metadata logging and power loss recovery for page-mapping FTL LETTER IEICE Electronics Express, Vol.10, No.11, 1 6 Implementation of metadata logging and power loss recovery for page-mapping FTL Seung-Ho Lim a) 1 Hankuk University of Foreign Studies, 89 Wangsan-ri,

More information

Page Mapping Scheme to Support Secure File Deletion for NANDbased Block Devices

Page Mapping Scheme to Support Secure File Deletion for NANDbased Block Devices Page Mapping Scheme to Support Secure File Deletion for NANDbased Block Devices Ilhoon Shin Seoul National University of Science & Technology ilhoon.shin@snut.ac.kr Abstract As the amount of digitized

More information

HIGH-DIMENSIONAL SPACE SEARCH SYSTEM FOR MULTI-TOWER CRANE MOTION PLANNING

HIGH-DIMENSIONAL SPACE SEARCH SYSTEM FOR MULTI-TOWER CRANE MOTION PLANNING HIGH-DIMENSIONAL SPACE SEARCH SYSTEM FOR MULTI-TOWER CRANE MOTION PLANNING Minsu Kang *, Hyounseok Moon 2, and Leenseok Kang Department of Electrical Engineering, Seoul National University, Seoul, Korea

More information

Question 13 1: (Solution, p 4) Describe the inputs and outputs of a (1-way) demultiplexer, and how they relate.

Question 13 1: (Solution, p 4) Describe the inputs and outputs of a (1-way) demultiplexer, and how they relate. Questions 1 Question 13 1: (Solution, p ) Describe the inputs and outputs of a (1-way) demultiplexer, and how they relate. Question 13 : (Solution, p ) In implementing HYMN s control unit, the fetch cycle

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information