VISUALIZING THE PACKAGING ROADMAP

Similar documents
3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Advancing high performance heterogeneous integration through die stacking

All Programmable: from Silicon to System

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Advanced Heterogeneous Solutions for System Integration

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

Stacked Silicon Interconnect Technology (SSIT)

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

Xilinx SSI Technology Concept to Silicon Development Overview

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

Beyond Moore. Beyond Programmable Logic.

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit

Interposer Technology: Past, Now, and Future

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Five Emerging DRAM Interfaces You Should Know for Your Next Design

BREAKING THE MEMORY WALL

The FPGA: An Engine for Innovation in Silicon and Packaging Technology

Future Memories. Jim Handy OBJECTIVE ANALYSIS

3D & Advanced Packaging

Burn-in & Test Socket Workshop

IMEC CORE CMOS P. MARCHAL

High Performance Memory in FPGAs

Introduction. SK hynix

More Course Information

ECE 486/586. Computer Architecture. Lecture # 2

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Innovating Beyond Moore s Law. Craig Stephen Vice President, R&D, Oracle Labs

TechSearch International, Inc.

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects

TechSearch International, Inc.

DVFS Space Exploration in Power-Constrained Processing-in-Memory Systems

Packaging Technology for Image-Processing LSI

inemi Roadmap Packaging and Component Substrates TWG

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

From Majorca with love

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

The Road from Peta to ExaFlop

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

ENABLING INTERPOSER-BASED DISINTEGRATION OF MULTI-CORE PROCESSORS. Ajaykumar Kannan

Start Your HBM/2.5D Design Today

Chapter 0 Introduction

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Enabling Technology for the Cloud and AI One Size Fits All?

WaferBoard Rapid Prototyping

Driving Leading Edge Microprocessor Technology

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Trends and Challenges for Data Centers inemi Roadmap

TABLE OF CONTENTS III. Section 1. Executive Summary

HPC Technology Trends

Additional Slides for Lecture 17. EE 271 Lecture 17

2.5D FPGA-HBM Integration Challenges

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

High performance HBM Known Good Stack Testing

Networks for Multi-core Chips A A Contrarian View. Shekhar Borkar Aug 27, 2007 Intel Corp.

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

Die Stacking Is Happening

Gen-Z Memory-Driven Computing

TechSearch International, Inc.

Slide credit: Slides adapted from David Kirk/NVIDIA and Wen-mei W. Hwu, DRAM Bandwidth

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Memory Demand Trends and what they Mean to Packaging Technology

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Packaging Innovation for our Application Driven World

Addendum to Efficiently Enabling Conventional Block Sizes for Very Large Die-stacked DRAM Caches

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS

3DIC & TSV interconnects business update

2011 Signal Processing CoDR: Technology Roadmap W. Turner SPDO. 14 th April 2011

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt

Stacking Untested Wafers to Improve Yield. The 3D Enigma

The Road to the AMD. Fiji GPU. Featuring Die Stacking and HBM Technology 1 THE ROAD TO THE AMD FIJI GPU ECTC 2016 MAY 2015

ECE 574 Cluster Computing Lecture 23

Sort vs. Hash Join Revisited for Near-Memory Execution. Nooshin Mirzadeh, Onur Kocberber, Babak Falsafi, Boris Grot

OpenCAPI and its Roadmap

Thermal Management Challenges in Mobile Integrated Systems

EE586 VLSI Design. Partha Pande School of EECS Washington State University

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

Thermal Considerations in Package Stacking and Advanced Module Technology

There s STILL plenty of room at the bottom! Andreas Olofsson

GPU Architecture. Alan Gray EPCC The University of Edinburgh

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

Moore s s Law, 40 years and Counting

Thermo Mechanical Modeling of TSVs

Copyright 2012, Elsevier Inc. All rights reserved.

Microprocessor Trends and Implications for the Future

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager

Fundamentals of Computer Design

Fundamentals of Quantitative Design and Analysis

Transcription:

IEEE SCV EPS Chapter Meeting 3/13/2019 VISUALIZING THE PACKAGING ROADMAP IVOR BARBER CORPORATE VICE PRESIDENT, PACKAGING AMD IEEE EPS Lunchtime Presentation March 2019 1 2 2 www.cpmt.org/scv

3/27/2019 THE CHALLENGE OF VISUALIZING WHAT LIES AHEAD The world is in a period of rapid change, the rate of change is accelerating. The field of electronics is facilitating, perhaps driving much of this change. The only certainty is change. Maturity Replacement Idea or Product Units, $$$, Market Penetration Incubation 3 Time Gabriel Tarde s diffusion model or S-Curve can be applied to the spread of ideas, innovations and products from Incubation to Maturity and Decline as Replacements emerge. 3 DISRUPTIVE CHANGE CAN HAPPEN QUICKLY December 1, 1913 The Ford Motor Company introduced the first moving automobile assembly line, reducing chassis assembly time from 12 1 2 hours in October to 2 hours, 40 minutes. 1900 1913 Easter parade in 5th Avenue, New York, in 1900 U.S. IEEE Bureau EPS of March Public 2019Roads. Photographer unknown. - National Archives and Records Administration, Library of Congress : George Grantham Bain Collection Records of the Bureau of Public Roads. Image 30-N 4

3/27/2019 NEXT GENERATION PACKAGING (1990 S) Brainstorming a list of the desirable attributes of a next generation package format. Organic Array Based High Pin Count Surface Mount Highly Manufacturable High Yielding Highly Reliable Low Cost 5 5 NEXT GENERATION PACKAGING (1990 S) Brainstorming a list of the desirable attributes of a next generation package format. Organic, Fine Pitch, Surface Mount Pin Grid Array. Organic. Array Based. High Pin Count. Surface Mount. X Widely Manufacturable. X High Yielding. Highly Reliable. X Low Cost. 6 6

NEXT GENERATION PACKAGING (1990 S) Brainstorming a list of the desirable attributes of a next generation package format. Organic Ball Grid Array. Organic. Array Based. High Pin Count. Surface Mount. Widely Manufacturable. High Yielding. Highly Reliable. Low Cost. 7 7 IBM EXASCALE ROADMAP In 2010 - to meet the needs of Exascale Computing, IBM predicted several packaging innovations including Nanophotonic interfaces. Electrical-to- Optical Optical- to Electrical Invited talk at SEMICON, Japan, December 1 st 2010. 8 8

IBM EXASCALE ROADMAP In 2010 - to meet the needs of Exascale Computing, IBM predicted several packaging innovations including Nanophotonic interfaces. Invited talk at SEMICON, Japan, December 1 st 2010. 9 9 THE EMERGENCE OF MULTI-DIE PACKAGING The slowing of Moore s Law as an economic driver has led to renewed interest in multi-die packaging. Traditional SOC scaling has hit cost barriers which make die partitioning economically viable for high end packaging. Xilinx used silicon interposers with TSV (2.5D). Traditional SOC scaling has fundamental barriers which prohibit monolithic integration of analog, logic and memory circuits. Multi-die packaging will replace both Moore s Law and SOC scaling as the economic and technological driving force of the Semiconductor Industry. 10 Attribution : Bill Bottoms, Various HIR Roadmap Presentations 10

MULTI-CHIP PACKAGING FOR SERVER APPLICATIONS 1 st Generation - 32 cores Homogeneous Integration 2 nd Generation - 64 cores Heterogenous Integration 11 2.5D ALLOWS HIGH BANDWIDTH MEMORY INTERCONNECT Fine pitch bumping (45u/55u pitch). Fine line routing with Silicon Based geometries on interposer (<1u/1u). Massively Parallel connection to High Band Width (HBM) Memory. GPU Logic + HBM 12

HIGHER BANDWIDTH AT LOWER POWER DRAM Layers Cores Silicon Interposer DRAM Layers Cores INTEGRATION ENABLES HIGHER BANDWIDTH AT LOWER POWER DIMMs 2.5D 3D pj/bit ~8 ~3.5 <1 BW 50-200GB/s 250-1000GB/s >1TB/s AMD internal modeling and estimates 13 PERFORMANCE GAINS OVER THE PAST DECADE ELEMENTS OF 2X IN 2.4 YEAR PERFORMANCE GAIN OVER THE PAST DECADE 100% Compilers 8% Power Management 15% Micro-architecture 17% Additional Die Size 12% Additional TDP 8% Technology Gains 40% Integration of System Components. Micro-architectural Efficiency. Power Management. Software. More Silicon Power. Bigger Die. HIGHER PERFORMANCE, DENSER, LOWER POWER TRANSISTORS. 14

ACCELERATING THE EXPONENTIAL A BETTER FUTURE DEPENDS ON IMPROVING COMPUTATION EFFICIENCY NEW APPROACHES NEW ARCHITECTURE IMPROVEMENT OPPORTUNITIES FOR THE NEXT DECADE Log Scale System Performance/Watt 100% 3D stacking Multi-Chip Architecture Memory Integration Software Micro-architecture Power Management Process Technology 2007 2017 2027 15 NEXT GENERATION PACKAGING Brainstorming a list of the desirable attributes of a next generation package format. Organic. Array Based. High Pin Count. Surface Mount. Widely Manufacturable. High Yielding. Highly Reliable. Low Cost. Memory Integration. Massively Parallel Chip-To-Chip Connectivity. Multiple Voltages/Voltage Regulation. Power Reduction/Power Management. Integrated High Speed/Optical Conversion. 16 16

Serial / Optical Conversion? Thermal Management Known Good Die & Performance Binning 3D stacking method? 3D Interconnect Architecture? Power Management Custom Parallel Interface On Board / Module Voltage Regulator Embedded Capacitance 17 17 Serial / Optical Conversion? Thermal Management Known Good Die & Performance Binning 3D stacking method? 3D Interconnect Architecture? Power Management Custom Parallel Interface On Board / Module Voltage Regulator Embedded Capacitance 18 18

THANK YOU 19 19 END NOTES Slide 14, 15 Lisa T. Su, Samuel Naffziger, and Mark Papermaster, Multi-Chip Technologies to Unleash Computing Performance Gains over the Next Decade, IEDM Conference 2017. 20 20