CISC 662 Graduate Computer Architecture Lecture 13 - Limits of ILP

Similar documents
CMSC 411 Computer Systems Architecture Lecture 13 Instruction Level Parallelism 6 (Limits to ILP & Threading)

CISC 662 Graduate Computer Architecture Lecture 13 - Limits of ILP

Outline EEL 5764 Graduate Computer Architecture. Chapter 3 Limits to ILP and Simultaneous Multithreading. Overcoming Limits - What do we need??

Lecture 7 Instruction Level Parallelism (5) EEC 171 Parallel Architectures John Owens UC Davis

Lecture-13 (ROB and Multi-threading) CS422-Spring

TDT 4260 lecture 7 spring semester 2015

Review Tomasulo. Lecture 17: ILP and Dynamic Execution #2: Branch Prediction, Multiple Issue. Tomasulo Algorithm and Branch Prediction

Limits to ILP. Limits to ILP. Overcoming Limits. CS252 Graduate Computer Architecture Lecture 11. Conflicting studies of amount


Page 1. Today s Big Idea. Lecture 18: Branch Prediction + analysis resources => ILP

Multiple Instruction Issue and Hardware Based Speculation

Simultaneous Multithreading Architecture

Handout 2 ILP: Part B

Hardware-Based Speculation

Parallel Processing SIMD, Vector and GPU s cont.

Exploitation of instruction level parallelism

TDT Coarse-Grained Multithreading. Review on ILP. Multi-threaded execution. Contents. Fine-Grained Multithreading

LIMITS OF ILP. B649 Parallel Architectures and Programming

Lecture 19: Instruction Level Parallelism -- Dynamic Scheduling, Multiple Issue, and Speculation

CISC 662 Graduate Computer Architecture Lecture 13 - CPI < 1

TDT 4260 TDT ILP Chap 2, App. C

CS252 Graduate Computer Architecture Lecture 10. Review: Branch Prediction/Speculation

Hardware Speculation Support

Instruction-Level Parallelism and Its Exploitation (Part III) ECE 154B Dmitri Strukov

Instruction Level Parallelism (ILP)

Chapter 3 Instruction-Level Parallelism and its Exploitation (Part 5)

ECSE 425 Lecture 25: Mul1- threading

Functional Units. Registers. The Big Picture: Where are We Now? The Five Classic Components of a Computer Processor Input Control Memory

Page 1. CISC 662 Graduate Computer Architecture. Lecture 8 - ILP 1. Pipeline CPI. Pipeline CPI (I) Pipeline CPI (II) Michela Taufer

CS425 Computer Systems Architecture

EITF20: Computer Architecture Part3.2.1: Pipeline - 3

Instruction Level Parallelism

Page # CISC 662 Graduate Computer Architecture. Lecture 8 - ILP 1. Pipeline CPI. Pipeline CPI (I) Michela Taufer

CISC 662 Graduate Computer Architecture Lecture 11 - Hardware Speculation Branch Predictions

CISC 662 Graduate Computer Architecture. Lecture 10 - ILP 3

Multithreading: Exploiting Thread-Level Parallelism within a Processor

Lecture 5: VLIW, Software Pipelining, and Limits to ILP. Review: Tomasulo

Multithreaded Processors. Department of Electrical Engineering Stanford University

CSE 502 Graduate Computer Architecture. Lec 11 Simultaneous Multithreading

ENGN1640: Design of Computing Systems Topic 06: Advanced Processor Design

Hardware-based Speculation

Week 6 out-of-class notes, discussions and sample problems

Lecture 9: More ILP. Today: limits of ILP, case studies, boosting ILP (Sections )

Super Scalar. Kalyan Basu March 21,

Lecture 25: Board Notes: Threads and GPUs

CS425 Computer Systems Architecture

UG4 Honours project selection: Talk to Vijay or Boris if interested in computer architecture projects

ILP Ends TLP Begins. ILP Limits via an Oracle

Advanced Computer Architecture

Lecture 8 Dynamic Branch Prediction, Superscalar and VLIW. Computer Architectures S

Chapter 4. Advanced Pipelining and Instruction-Level Parallelism. In-Cheol Park Dept. of EE, KAIST

Multiprocessors and Thread-Level Parallelism. Department of Electrical & Electronics Engineering, Amrita School of Engineering

CS 152 Computer Architecture and Engineering

Lecture 8: Instruction Fetch, ILP Limits. Today: advanced branch prediction, limits of ILP (Sections , )

Chapter 03. Authors: John Hennessy & David Patterson. Copyright 2011, Elsevier Inc. All rights Reserved. 1

NOW Handout Page 1. Review from Last Time #1. CSE 820 Graduate Computer Architecture. Lec 8 Instruction Level Parallelism. Outline

Getting CPI under 1: Outline

Lecture 5: VLIW, Software Pipelining, and Limits to ILP Professor David A. Patterson Computer Science 252 Spring 1998

EECS 452 Lecture 9 TLP Thread-Level Parallelism

Computer and Information Sciences College / Computer Science Department CS 207 D. Computer Architecture. Lecture 9: Multiprocessors

EN164: Design of Computing Systems Topic 08: Parallel Processor Design (introduction)

CS 152 Computer Architecture and Engineering

CS6303 Computer Architecture Regulation 2013 BE-Computer Science and Engineering III semester 2 MARKS

Lecture 14: Multithreading

Metodologie di Progettazione Hardware-Software

CSE 820 Graduate Computer Architecture. week 6 Instruction Level Parallelism. Review from Last Time #1

Page 1. Recall from Pipelining Review. Lecture 16: Instruction Level Parallelism and Dynamic Execution #1: Ideas to Reduce Stalls

CS422 Computer Architecture

Chapter 7. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 7 <1>

Instruction-Level Parallelism and Its Exploitation

CPI < 1? How? What if dynamic branch prediction is wrong? Multiple issue processors: Speculative Tomasulo Processor

THREAD LEVEL PARALLELISM

Lecture 26: Parallel Processing. Spring 2018 Jason Tang

CS 654 Computer Architecture Summary. Peter Kemper

Pipelining. Ideal speedup is number of stages in the pipeline. Do we achieve this? 2. Improve performance by increasing instruction throughput ...

ILP Limit: Perfect/Infinite Hardware. Chapter 3: Limits of Instr Level Parallelism. ILP Limit: see Figure in book. Narrow Window Size

CISC 662 Graduate Computer Architecture Lecture 6 - Hazards

Multiple Issue ILP Processors. Summary of discussions

Recall from Pipelining Review. Instruction Level Parallelism and Dynamic Execution

Donn Morrison Department of Computer Science. TDT4255 ILP and speculation

Lecture 9: Multiple Issue (Superscalar and VLIW)

Simultaneous Multithreading (SMT)

Recall from Pipelining Review. Lecture 16: Instruction Level Parallelism and Dynamic Execution #1: Ideas to Reduce Stalls

Page 1. Instruction-Level Parallelism (ILP) CISC 662 Graduate Computer Architecture Lectures 16 and 17 - Multiprocessors and Thread-Level Parallelism

Instruction Level Parallelism

Computer Architecture A Quantitative Approach, Fifth Edition. Chapter 3. Instruction-Level Parallelism and Its Exploitation

Multithreading Processors and Static Optimization Review. Adapted from Bhuyan, Patterson, Eggers, probably others

The Processor: Instruction-Level Parallelism

CPI IPC. 1 - One At Best 1 - One At best. Multiple issue processors: VLIW (Very Long Instruction Word) Speculative Tomasulo Processor

In embedded systems there is a trade off between performance and power consumption. Using ILP saves power and leads to DECREASING clock frequency.

CS252 Graduate Computer Architecture Lecture 6. Recall: Software Pipelining Example

250P: Computer Systems Architecture. Lecture 9: Out-of-order execution (continued) Anton Burtsev February, 2019

Multiple Instruction Issue. Superscalars

CSE502 Graduate Computer Architecture. Lec 22 Goodbye to Computer Architecture and Review

Dynamic Hardware Prediction. Basic Branch Prediction Buffers. N-bit Branch Prediction Buffers

Computer Science 146. Computer Architecture

EN164: Design of Computing Systems Topic 06.b: Superscalar Processor Design

CISC 662 Graduate Computer Architecture Lecture 7 - Multi-cycles. Interrupts and Exceptions. Device Interrupt (Say, arrival of network message)

CISC 662 Graduate Computer Architecture Lecture 7 - Multi-cycles

Page 1. Review: Dynamic Branch Prediction. Lecture 18: ILP and Dynamic Execution #3: Examples (Pentium III, Pentium 4, IBM AS/400)

Transcription:

CISC 662 Graduate Computer Architecture Lecture 13 - Limits of ILP Michela Taufer http://www.cis.udel.edu/~taufer/teaching/cis662f07 Powerpoint Lecture Notes from John Hennessy and David Patterson s: Computer Architecture, 4th edition ---- Additional teaching material from: Jelena Mirkovic (U Del) and John Kubiatowicz (UC Berkeley) Limits of ILP 2 Page 1

Limits to ILP Conflicting studies of amount Benchmarks (vectorized Fortran FP vs. integer C programs) Hardware sophistication Compiler sophistication How much ILP is available using existing mechanisms with increasing HW budgets? Do we need to invent new HW/SW mechanisms to keep on processor performance curve? Intel MMX, SSE (Streaming SIMD Extensions): 64 bit ints Intel SSE2: 128 bit, including 2 64-bit Fl. Pt. per clock Motorola AltaVec: 128 bit ints and FPs Supersparc Multimedia ops, etc. 3 Overcoming Limits Advances in compiler technology + significantly new and different hardware techniques may be able to overcome limitations assumed in studies However, unlikely such advances when coupled with realistic hardware will overcome these limits in near future 4 Page 2

Limits to ILP Initial HW Model here; MIPS compilers. Assumptions for ideal/perfect machine to start: 1. Register renaming infinite virtual registers => all register WAW & WAR hazards are avoided 2. Branch prediction perfect; no mispredictions 3. Jump prediction all jumps perfectly predicted (returns, case statements) 2 & 3 no control dependencies; perfect speculation & an unbounded buffer of instructions available 4. Memory-address alias analysis addresses known & a load can be moved before a store provided addresses not equal; 1&4 eliminates all but RAW Also: perfect caches; 1 cycle latency for all instructions (FP *,/); unlimited instructions issued/clock cycle; 5 Limits to ILP HW Model comparison Instructions Issued per clock Instruction Window Size Renaming Registers Model IBM Power 5 Infinite 4 Infinite 200 Infinite 48 integer + 40 Fl. Pt. Branch Prediction Perfect 2% to 6% misprediction (Tournament Branch Predictor) Cache Perfect 64KI, 32KD, 1.2MB L2, 36 MB L3 Memory Alias Analysis Perfect?? 6 Page 3

Upper Limit to ILP: Ideal Machine (Figure 3.1) Instructions Per Clock Instruction Issues per cycle 160 140 120 100 80 60 40 20 0 Integer: 18-60 FP: 75-150 118.7 150.1 75.2 62.6 54.8 17. gcc e s p r e s s o li fpppp doducd tomcatv Programs 7 What the Perfect Processor must do Look arbitrary far ahead to find a set of instructions to issue Predict all branches perfectly Rename all register Avoid WAR and WAW Determine whether there are any data dependences among the instructions in the issue packet Rename accordingly Determine if any memory dependences exists among the issue packet Handel accordingly Provide enough replicated functional units Allow all the reasy instructions to issue 8 Page 4

Window Size Given n issuing instructions: Assume all instructions are register-register Assume total number of registers is unbounded The number of comparisons is: 2n - 2 + 2n - 4 + + 2 = n 2 - n Cost of comparison limits the number of instructions that can considered for issue at once The set of instructions that is examined for simultaneous execution is called windows The total window size is limited by: Required storage Comparisons Limited issue rate N-Factor Analysis A window larger than the issue rate is worthwhile if there are dependences or cache misses in the instruction misses. The maximum number of instructions that may issue, begin execution, or commit in the same cycle is usually much smaller than the window size N-Factor Analysis: Limit the size of window Keep all the other parameters the same (ideal) What is the effect on the the amount of parallelism? 10 Page 5

Limits to ILP HW Model comparison New Model Model Power 5 Instructions Issued per clock Instruction Window Size Renaming Registers Branch Prediction Infinite Infinite 4 Infinite, 2K, 512, 128, 32 Infinite 200 Infinite Infinite 48 integer + 40 Fl. Pt. Perfect Perfect 2% to 6% misprediction (Tournament Branch Predictor) Cache Perfect Perfect 64KI, 32KD, 1.2MB L2, 36 MB L3 Memory Alias Perfect Perfect?? 11 More Realistic HW: Window Impact Figure 3.2 Change from Infinite window 2048, 512, 128, 32 FP: - 150 160 150 IPC Instructions Per Clock 140 120 100 80 60 40 20 Integer: 8-63 63 55 41 36 15 18 15 10 10 13 12 8 8 11 11 75 61 5 60 4 45 35 34 14 1615 14 0 gcc espresso li fpppp doduc tomcatv Infinite 2048 512 128 32 12 Page 6

Limits to ILP HW Model comparison New Model Model Power 5 Instructions Issued per clock Instruction Window Size Renaming Registers Branch Prediction 64 Infinite 4 2048 Infinite 200 Infinite Infinite 48 integer + 40 Fl. Pt. Perfect vs. 8K Tournament vs. 512 2-bit vs. profile vs. none Perfect 2% to 6% misprediction (Tournament Branch Predictor) Cache Perfect Perfect 64KI, 32KD, 1.2MB L2, 36 MB L3 Memory Alias Perfect Perfect?? 13 Five Levels of Branch Prediction Perfect: all branches and jumps are perfectly predicted at the start of execution Tournament predictor: use multiple predictors, usually one based on global information and one based on local information, and combining them with a selector that chooses the best predictor for each branch Standard 2-bit predictor with with 512 2-bit entries Profile-based: static predictor uses the profile history of the program and predict the branches is always taking or not taking based on profile None: no branch prediction is used 14 Page 7

IPC More Realistic HW: Branch Impact Figure 3.3 60 50 40 30 20 10 Change from Infinite window to examine to 2048 and maximum issue of 64 instructions 41 per clock cycle 35 Integer: 6-12 6 12 6 7 6 6 7 16 10 2 2 2 61 48 46 45 2 60 FP: 15-45 58 15 13 14 4 46 45 45 1 0 gcc espresso li fpppp doducd tomcatv P r o g r a m Perfect Perfect Selective predictor Standard 2-bit Static None Tournament BHT (512) Profile No prediction 15 Misprediction Rates Misprediction Rate 35% 30% 25% 20% 15% 10% 5% 0% 30% 23% 18% 18% 16% 14% 14% 12% 12% 6% 5% 4% 3% 1% 1% 2% 2% 0% tomcatv doduc fpppp li espresso gcc Profile-based 2-bit counter Tournament 16 Page 8

Limits to ILP HW Model comparison Instructions Issued per clock Instruction Window Size Renaming Registers Branch Prediction New Model Model Power 5 64 Infinite 4 2048 Infinite 200 Infinite v. 256, 128, 64, 32, none Infinite 48 integer + 40 Fl. Pt. 8K 2-bit Perfect Tournament Branch Predictor Cache Perfect Perfect 64KI, 32KD, 1.2MB L2, 36 MB L3 Memory Alias Perfect Perfect Perfect 17 IPC More Realistic HW: Renaming Register Impact (N int + N fp) Figure 3.5 70 60 50 40 30 20 11 10 10 10 Change 2048 instr window, 64 instr issue, 8K 2 level Prediction Integer: 5-15 15 15 13 10 5 4 5 4 12 12 12 11 5 4 35 20 2 FP: 11-45 16 15 6 5 5 5 4 11 54 45 44 28 7 5 5 0 gcc espresso li fpppp doducd tomcatv P r o g r a m Infinite 256 128 64 32 None Infinite 256 128 64 32 None 18 Page

Limits to ILP HW Model comparison New Model Model Power 5 Instructions Issued per clock Instruction Window Size Renaming Registers 64 Infinite 4 2048 Infinite 200 256 Int + 256 FP Infinite 48 integer + 40 Fl. Pt. Branch 8K 2-bit Perfect Tournament Prediction Cache Perfect Perfect 64KI, 32KD, 1.2MB L2, 36 MB L3 Memory Alias Perfect v. Stack v. Inspect v. none Perfect Perfect 1 MIPS memory allocation for program and data Text segment: Holds programs Instructions Data segment: Static data Dynamic data Stack Segment Static Data: Size known to the compiler Lifetime is the program s entire execution e.g., global variables in C Dynamic Data: e.g., in C data allocated by malloc() 20 Page 10

Three Alias Analysis Models Global/stack perfect: Perfect predictions for global and stack references; assume all heap references conflict Inspection: Examine the accesses to see if they can interfere at compile time 0(R0) and 16(R0) do not interfere Addresses that point to different areas (e.g., global and stack areas) never to alias None: All memory references are considered to conflict 21 IPC More Realistic HW: Memory Address Alias Impact Figure 3.6 Instruction issues per cycle 50 45 40 35 30 25 20 15 10 5 10 Change 2048 instr window, 64 instr issue, 8K 2 level Prediction, 256 renaming registers 15 7 7 4 Integer: 4-3 12 4 4 FP: 4-45 (Fortran, no heap) 5 5 6 4 4 5 3 3 4 4 16 16 45 45 0 gcc espresso li fpppp doducd tomcatv P r o g r a m Perfect Global/stack Perfect Inspection None Perfect Global/Stack perf; heap conflicts Inspec. Assem. None 22 Page 11

Limits to ILP HW Model comparison Instructions Issued per clock Instruction Window Size Renaming Registers New Model Model Power 5 64 (no restrictions) Infinite vs. 256, 128, 64, 32 Infinite 4 Infinite 200 64 Int + 64 FP Infinite 48 integer + 40 Fl. Pt. Branch 1K 2-bit Perfect Tournament Prediction Cache Perfect Perfect 64KI, 32KD, 1.2MB L2, 36 MB L3 Memory Alias HW disambiguation Perfect Perfect 23 IPC Instruction issues per cycle Realistic HW: Window Impact (Figure 3.7) 60 50 40 30 20 10 Perfect disambiguation (HW), 1K Selective Prediction, 16 entry return, 64 registers, issue as many as window Integer: 6-12 1716 15 15 15 13 14 14 12 12 10 11 12 10 10 10 11 8 8 8 6 6 6 7 4 4 4 5 6 4 3 2 3 3 3 3 52 47 35 22 FP: 8-45 56 45 34 22 0 gcc expresso li fpppp doducd tomcatv P r o g r a m Infinite 256 128 64 32 16 8 4 Infinite 256 128 64 32 16 8 4 24 Page 12

How to Exceed ILP Limits of this study? These are not laws of physics; just practical limits for today, and perhaps overcome via research Compiler and ISA advances could change results WAR and WAW hazards through memory: eliminated WAW and WAR hazards through register renaming, but not in memory usage Can get conflicts via allocation of stack frames as a called procedure reuses the memory addresses of a previous frame on the stack 25 HW v. SW to increase ILP Memory disambiguation: HW best Speculation: HW best when dynamic branch prediction better than compile time prediction Exceptions easier for HW HW doesn t need bookkeeping code or compensation code Very complicated to get right Scheduling: SW can look ahead to schedule better HW = compiler independence: does not require new compiler / recompilation to run well on different implementations of an architecture 26 Page 13

Performance beyond single thread ILP There can be much higher natural parallelism in some applications (e.g., Database or Scientific codes) Explicit Thread Level Parallelism or Data Level Parallelism Thread: process with own instructions and data Thread may be a process part of a parallel program of multiple processes, or it may be an independent program Each thread has all the state (instructions, data, PC, register state, and so on) necessary to allow it to execute Data Level Parallelism: Perform identical operations on data, and lots of data 27 Thread Level Parallelism (TLP) ILP exploits implicit parallel operations within a loop or straight-line code segment TLP explicitly represented by the use of multiple threads of execution that are inherently parallel Goal: Use multiple instruction streams to improve 1. Throughput of computers that run many programs 2. Execution time of multi-threaded programs TLP could be more cost-effective to exploit than ILP 28 Page 14

Another Approach: Multithreaded Execution Multithreading: multiple threads to share the functional units of 1 processor via overlapping processor must duplicate independent state of each thread e.g., a separate copy of register file, a separate PC, and for running independent programs, a separate page table memory shared through the virtual memory mechanisms, which already support multiple processes HW for fast thread switch; much faster than full process switch 100s to 1000s of clocks When switch? Alternate instruction per thread (fine grain) When a thread is stalled, perhaps for a cache miss, another thread can be executed (coarse grain) 2 Fine-Grained Multithreading Switches between threads on each instruction, causing the execution of multiples threads to be interleaved Usually done in a round-robin fashion, skipping any stalled threads CPU must be able to switch threads every clock Advantage is it can hide both short and long stalls, since instructions from other threads executed when one thread stalls Disadvantage is it slows down execution of individual threads, since a thread ready to execute without stalls will be delayed by instructions from other threads Used on Sun s Niagara (will see later) 30 Page 15

Course-Grained Multithreading Switches threads only on costly stalls, such as L2 cache misses Advantages Relieves need to have very fast thread-switching Doesn t slow down thread, since instructions from other threads issued only when the thread encounters a costly stall Disadvantage is hard to overcome throughput losses from shorter stalls, due to pipeline start-up costs Since CPU issues instructions from 1 thread, when a stall occurs, the pipeline must be emptied or frozen New thread must fill pipeline before instructions can complete Because of this start-up overhead, coarse-grained multithreading is better for reducing penalty of high cost stalls, where pipeline refill << stall time Used in IBM AS/400 31 For most apps: most execution units lie idle For an 8-way superscalar. From: Tullsen, Eggers, and Levy, Simultaneous Multithreading: Maximizing On-chip Parallelism, ISCA 15. 32 Page 16

Do both ILP and TLP? TLP and ILP exploit two different kinds of parallel structure in a program Could a processor oriented at ILP to exploit TLP? functional units are often idle in data path designed for ILP because of either stalls or dependences in the code Could the TLP be used as a source of independent instructions that might keep the processor busy during stalls? Could TLP be used to employ the functional units that would otherwise lie idle when insufficient ILP exists? 33 Simultaneous Multi-threading... One thread, 8 units Two threads, 8 units Cycle M M FX FX FP FPBRCC 1 1 Cycle M M FX FX FP FPBRCC 2 3 4 5 6 7 8 2 3 4 5 6 7 8 M = Load/Store, FX = Fixed Point, FP = Floating Point, BR = Branch, CC = Condition Codes 34 Page 17

Simultaneous Multithreading (SMT) Simultaneous multithreading (SMT): insight that dynamically scheduled processor already has many HW mechanisms to support multithreading Large set of virtual registers that can be used to hold the register sets of independent threads Register renaming provides unique register identifiers, so instructions from multiple threads can be mixed in datapath without confusing sources and destinations across threads Out-of-order completion allows the threads to execute out of order, and get better utilization of the HW Just adding a per thread renaming table and keeping separate PCs Independent commitment can be supported by logically keeping a separate reorder buffer for each thread Source: Micrprocessor Report, December 6, 1 Compaq Chooses SMT for Alpha 35 Time (processor cycle) Multithreaded Categories Superscalar Fine-Grained Coarse-Grained Multiprocessing Simultaneous Multithreading Thread 1 Thread 2 Thread 3 Thread 4 Thread 5 Idle slot 36 Page 18

Design Challenges in SMT Since SMT makes sense only with fine-grained implementation, impact of fine-grained scheduling on single thread performance? A preferred thread approach sacrifices neither throughput nor single-thread performance? Unfortunately, with a preferred thread, the processor is likely to sacrifice some throughput, when preferred thread stalls Larger register file needed to hold multiple contexts Clock cycle time, especially in: Instruction issue - more candidate instructions need to be considered Instruction completion - choosing which instructions to commit may be challenging Ensuring that cache and TLB conflicts generated by SMT do not degrade performance 37 Page 1