Terasic DE0 Field Programmable Gate Array (FPGA) Development Board

Similar documents
CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 7: VHDL and DE2 Board. Name: Date:

FPGA Introductory Tutorial: Part 1

Tutorial on Quartus II Introduction Using Schematic Designs

CET4805 Component and Subsystem Design II. EXPERIMENT # 5: Adders. Name: Date:

Tutorial on Quartus II Introduction Using Verilog Code

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 10: Implementing Binary Adders. Name: Date:

EE 231 Fall Lab 1: Introduction to Verilog HDL and Altera IDE

QUARTUS II Altera Corporation

Lab 6: Integrated the Decoder with Muti-bit Counter and Programming a FPGA

CHAPTER 1 Introduction of the tnano Board CHAPTER 2 tnano Board Architecture CHAPTER 3 Using the tnano Board... 8

DE2 Board & Quartus II Software

Quartus II Introduction Using Schematic Design

Laboratory 4 Design a Muti-bit Counter and Programming a FPGA

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17

Quick Tutorial for Quartus II & ModelSim Altera

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 6: Quartus II Tutorial and Practice. Name: Date:

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London.

Engineering 303 Digital Logic Design Spring 2017

Tutorial for Altera DE1 and Quartus II

Laboratory 4 Design a Muti-bit Counter

NIOS CPU Based Embedded Computer System on Programmable Chip

EE 231 Fall EE 231 Lab 2

Introduction to VHDL Design on Quartus II and DE2 Board

Chapter 2 Getting Hands on Altera Quartus II Software

CET4805 Component and Subsystem Design II. EXPERIMENT # 2: VHDL(VHSIC Hardware Descriptive Language) Name: Date:

UNIVERSITI MALAYSIA PERLIS

Quartus II Introduction Using Verilog Design

QuartusII.doc 25/02/2005 Page 1

My First FPGA for Altera DE2-115 Board

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent.

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

NIOS CPU Based Embedded Computer System on Programmable Chip

Physics 536 Spring Illustrating the FPGA design process using Quartus II design software and the Cyclone II FPGA Starter Board.

Lab 2: Introduction to Verilog HDL and Quartus

CHAPTER 1 INTRODUCTION... 1 CHAPTER 2 ASSIGN THE DEVICE... 7 CHAPTER 3 DESIGN ENTRY CHAPTER 4 COMPILE AND VERIFY YOUR DESIGN...

Quartus II Introduction Using Verilog Designs. 1 Introduction. For Quartus II 12.0

1 Introduction 2. 2 Background 3. 3 Getting Started 4. 4 Starting a New Project 6. 5 Design Entry Using VHDL Code 13

Lab 2 EECE473 Computer Organization & Architecture University of Maine

The development board used in this class is ALTERA s DE The board provides the following hardware:

NIOS CPU Based Embedded Computer System on Programmable Chip

EXPERIMENT 1. INTRODUCTION TO ALTERA

CSCB58 - Lab 0. Intro to The Lab & The DE2 Board. Prelab /4 Part I (in-lab) /1 Part II (in-lab) /1

SOPC LAB1. I. Introduction. II. Lab contents. 4-bit count up counter. Advanced VLSI Due Wednesday, 01/08/2003

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory.

CSEE W4840 Embedded System Design Lab 1

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008

Chip Design with FPGA Design Tools

Introduction to the Altera SOPC Builder Using Verilog Design

My First FPGA Design Tutorial

Introduction to the Altera SOPC Builder Using Verilog Designs. 1 Introduction

Experiment VERI: FPGA Design with Verilog (Part 1)

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2

DOWNLOADING DESIGNS TO THE ALTERA DE10-LITE FPGA

Altera DE1 Board DE1. Development and Education Board. User Manual. Copyright 2006 Altera Corporation

CSEE W4840 Embedded System Design Lab 1

Programmable Logic Devices

LAB 2: INTRODUCTION TO LOGIC GATE AND ITS BEHAVIOUR

SCHEMATIC DESIGN IN QUARTUS

IMPLEMENTING COUNTERS

University Program 3 Kit

Laboratory Experiment Mastering Digital Design

Quartus II Prime Foundation

FPGA Development Board Hardware and I/O Features

CSEE W4840 Embedded System Design Lab 1

Design Flow Tutorial

CHAPTER 1 INTRODUCTION Features About the KIT Getting Help... 7 CHAPTER 2 DE0-NANO BOARD ARCHITECTURE...

Laboratory Exercise 8

FPGA RGB Matrix. Created by lady ada. Last updated on :15:42 PM UTC

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

1.1 Features Block Diagram of the DE0-Nano Board Power-up the DE0-Nano Board Configuring the Cyclone IV FPGA...

CPLD Software Tutorial FOR ALTERA MAX+PLUS II

E85: Digital Design and Computer Architecture J. Spjut and R. Wang Spring 2014

Digital Systems Laboratory

Altera Quartus II Tutorial ECE 552

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design

CME341 Laboratory Manual

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow

Sidewinder Development Board rev 1.0

TUTORIALS FOR MAX3000A CPLD DEVICES

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

4. Configuring Cyclone II Devices

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016

TLL5000 Electronic System Design Base Module

Digital Systems Design. System on a Programmable Chip

4.1 Design Concept Demonstration for Altera DE2-115 FPGA Board Demonstration for Cyclone III Development Board...

Configuration and programming minimodules MMfpga01 and MMfpga11

ootbrobotics.com Electronics and Robotics LLC

TUTORIALS FOR MAX3000A CPLD DEVICES

EXPERIMENT NUMBER 7 HIERARCHICAL DESIGN OF A FOUR BIT ADDER (EDA-2)

Laboratory Exercise 3

CHAPTER 2 LITERATURE REVIEW

EET 1131 Lab #7 Arithmetic Circuits

5. Configuring Cyclone FPGAs

Chapter 2: Hardware Design Flow Using Verilog in Quartus II

Transcription:

Lecture FPGA-01 DE0 FPGA Development Board and Quartus II 9.1 FPGA Design Software Terasic DE0 Field Programmable Gate Array (FPGA) Development Board 1

May 16, 2013 3 Layout and Components of DE0 May 16, 2013 4 Block Diagram of the DE0 Board Cyclone III 3C16 FPGA:15,408 Les, 4 PLLs, 346 I/O pins Normally high, generate active-low pulse when pressed NOR flash SPI and SD 1-bit modes Logic 0/1 when in the Down/Up position 16 x 2 Character LCD EEPROM Altera EPM240 CPLD 2

May 16, 2013 5 DE0 Installation Step 1: Install the Altera Design Software on the host computer. Download the software: http://www.altera.com/download - Quartus II: the primary FPGA development tool - Nios II: soft-core embedded processor - ModelSim-Altera: Simulation tool May 16, 2013 6 DE0 Installation (Cont.) Step 2: Install the USB Blaster Plug in the power cable. Use the USB cable to connect the USB connector on the DE0 board to a USB port on a computer. - 1. Recognize the new hardware connected. - 2. Specify the path for the USB Blaster driver. - 3. Select appropriate driver. (C:\altera\91\quartus\drivers\usb-blaster) - 4. Install USB Blast driver. (C:\altera\91\quartus\drivers\usb-blaster\x32) 3

May 16, 2013 7 Power-Up the DE0 Board The DE0 board comes with a preloaded configuration bit stream to demonstrate some feature of the board. All user LEDs are flashing. All 7-segment displays are cycling through 0 to F. The VGA monitor displays the image as shown in the right-hand side: May 16, 2013 8 DE0 Control Panel The DE0 board comes with a Control Panel facility. (Start the executable DE0_ControlPanel.exe) Allow users to access various components on the board from a host computer. Connect the host computer with the DE0 board through a USB connection. Verify the functionality of components on the board. 4

May 16, 2013 9 DE0 Control Panel (Cont.) The control codes that perform the control functions Is implemented in the FPGA board, and Communicates with the Control Panel window on the host computer. Host Host computer: Control Panel window USB Blaster USB cable DE0 May 16, 2013 10 Configuring the Cyclone III FPGA The DE0 board contains a serial EEPROM chip (i.e., the EPCS4 device) that stores configuration data for the Cyclone III FPGA. The configuration data is automatically loaded from the EEPROM chip into the FPGA once the power is applied to the board. With Quartus II, it is possible to reprogram the FPGA and to change the non-volatile data in the EEPROM chip. - JTAG (Joint Test Action Group) programming: Download the configuration to FPGA directly, but the configuration is lost when the power is off. - AS (Active Serial) programming: Download the configuration into the EEPROM chip, and the configuration is retained when the power is off. When the power is on, data is loaded from the EEPROM. 5

May 16, 2013 11 Configuring the FPGA in JTAG Mode Download the.sof (SRAM Object File) file by the programmer of Quartus II May 16, 2013 12 Configuring the FPGA in JTAG Mode (Cont.) The steps to program SRAM Object File (.sof) into the FPGA device on the DE0 board are as follows: Step 1: Power on DE0 board with SW11 to RUN mode and connect it to the host. Step 2: Open Quartus II, and choose Tools Programmer Step 3: Click Hardware Setup and then Select USB Blaster Step 4: Click Add File to select the.sof file in JTAG mode, and then click Start to program it. 6

May 16, 2013 13 Configuring the EPCS in AS Mode Download the.pof (Programmer Object File) file by the programmer of Quartus II May 16, 2013 14 Configuring the EPCS4 in AS Mode (Cont.) The steps to program Programmer Object File (.pof) into the EPCS4 device on the DE0 board are as follows: Step 1: Power on DE0 board with SW11 to PROG mode and connect it to the host. Step 2: Open Quartus II, and choose Tools Programmer Step 3: Click Hardware Setup and then Select USB Blaster Step 4: Click Add File to select the.pof file in Active Serial Programming mode, and then click Start to program it. (Remember to select Add Device EPCS4 ) Setting for generating.pof file: Assignments Settings Device Device and Pin Options Configuration Active Serial, EPCS4, and check Use configuration device & Generate compressed bitstreams. 7

May 16, 2013 15 Pushbutton and Slide Switches Pin number Pin number 3 Pushbutton switches: Not pressed Logic High Pressed Logic Low 10 Slide switches (Sliders): Up Logic High Down Logic Low May 16, 2013 16 LEDs Pin number 10 LEDs Opuput high LED on Output low LED off 8

May 16, 2013 17 7-Segment Displays Pin number (active-low) Quartus II 9.1 FPGA Design Software 9

May 16, 2013 19 Simplified Design Flow of Quartus II Design entry ( block editor or text editor) Block editor: Block Diagram/Schematic File (.bdf) Text editor: Verilog HDL (.v) or VHDL (.vhd) Specify the designed circuit Download to FPGA Create projects and assign target devices (New Project Wizard) Compilation and Fitting Determine the placement and choose routing wires in the chip Simulation (Vector Wave File (.vwf) ) Compilation and Fitting Pin Assignments Timing simulation: Verify functional correctness and timing issues. Functional simulation: Verify functional correctness without considering timing issues. May 16, 2013 20 Schematic Design with Quartus II Example: When the BUTTON0 is pressed, LEDG0 shows the ANDed result of SW0 and SW1 and LEDG1 shows the ORed result of SW0 and SW1. Step 1: Start a new project Select File New Project Wizard - Working directory: Class01 - Project name: Class01 - Top-level design entry: Class01 Family & Device Settings - Device family: Cyclone III - Available device: EP3C16F484C6 EDA Tool Settings - Leave it alone at the moment 10

May 16, 2013 21 Schematic Design with Quartus II (Cont.) Step 2: Design entry using the graphic editor Select File New Block Diagram/Schematic File (.bdf) Save as Class01.bdf (check Add file to current project ) Select primitives of Symbol Tool to add - Three input pins A, B, and C, two output pins X and Y - One AND gate, one OR gate, two tri-state buffers, and one NOT gate. Select Orthogonal Node Tool to connect the nodes. Select Start Compilation to compile the circuit May 16, 2013 22 Schematic Design with Quartus II (Cont.) Step 3: Simulation with Vector Waveform File (.vwf) Select File New Vector Waveform File (.vwf) Save as Class01.vwf (check Add file to current project ) Select Edit Insert Insert Node or Bus Node Finder (Filter: Pins: all) to add input/output pins into the simulation. Select Edit End Time and select Edit Grid Size to configure the simulation period to 500ns and count period. - A: count value, binary, start value 0, count every 50ns, multiplied by 1. - B: count value, binary, start value 0, count every 50ns, start time 0ns, multiplied by 2. - C: forcing high or forcing low. Select Start Simulation to simulate the circuit. Functional simulation - Select Assignments Settings Simulator Settings to set Simulation mode as Functional. - Select Processing Generate Functional Simulation Netlist - Select Start Simulation to simulate the circuit. 11

May 16, 2013 23 Schematic Design with Quartus II (Cont.) Step 3: Simulation with Vector Waveform File (.vwf) Select Assignments Device to configure the board settings. - Set Family as Cyclone III and Device as EP3C16F484C6 - Select Device and Pin Options Select and set Unused Pins as As input tri-stated and Select Configuration to set configuration scheme as Active Serial and configuration device as EPCS4 Select Assignments Pins to activate the Pin Planner. Select Start Compilation to compile the circuit with circuit assignment. Select Tools Programmer to download the.soft file to the FPGA board for testing. May 16, 2013 24 Pin Assignment Backup and Export Backup and export pin assignments You can backup the ProjectName.pin file under your project directory. You can export the pin assignment by exporting it as an.csv file. - 1. Under Pin Planner, Select File Export - 2. Use EXCEL or any text editor to open this file. 1 2 12

May 16, 2013 25 Lab 01 Part 1 - Simulation Use the block editor (Block Diagram/Schematic File:.bdf) to design a NAND gate with one output pin F and two input pin A and B. Then use Vector Waveform File (.vwf) to simulate the results. - A: count value, binary, start value 0, simulation period=4us, start time: 0, advanced by 1 every 100ns - B: count value, binary, start value 0, simulation period=4us, start time: 0, advanced by 1 every 200ns Map A to SW0, B to SW1, and F to LED0 of DE0, and program it. May 16, 2013 26 Part 2 - Transferring the following Design to the Target FPGA Use two slides (SW1-SW0) as the binary input value. - The corresponding LED (LEDG0-3) is on when it is selected by the binary input. Other LEDs are off. E.g., 10 (SW1-SW0) lights LEDG2. 13

May 16, 2013 27 Pushbutton and Slide Switches Pin number Pin number 3 Pushbutton switches: Not pressed Logic High Pressed Logic Low 10 Slide switches (Sliders): Up Logic High Down Logic May 16, 2013 28 LEDs Pin number 10 LEDs Opuput high LED on Output low LED off 14