SRAM Memory Layout Design in 180nm Technology

Similar documents
Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Design and verification of low power SRAM system: Backend approach

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

Low Power and Improved Read Stability Cache Design in 45nm Technology

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Design of 6-T SRAM Cell for enhanced read/write margin

FABRICATION TECHNOLOGIES

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY

Design of Read and Write Operations for 6t Sram Cell

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

Modeling and Comparative Analysis of Logic Gates for Adder and Multiplier Applications -A VLSI based approach

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

Column decoder using PTL for memory

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

Low Power SRAM Design with Reduced Read/Write Time

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

Analysis of 8T SRAM Cell Using Leakage Reduction Technique

MEMORIES. Memories. EEC 116, B. Baas 3

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

An Overview of Standard Cell Based Digital VLSI Design

A Single Ended SRAM cell with reduced Average Power and Delay

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Design rule illustrations for the AMI C5N process can be found at:

Memory in Digital Systems

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

An overview of standard cell based digital VLSI design

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

Design of Low Power 5T-Dual Vth SRAM-Cell

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Optimizing Standby

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

Implementation of DRAM Cell Using Transmission Gate

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Cadence IC Design Manual

Lab 2. Standard Cell layout.

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

+1 (479)

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

CS250 VLSI Systems Design Lecture 9: Memory

ASIC Physical Design Top-Level Chip Layout

HOMEWORK 7 CMPEN 411 Due: 3/22/ :30pm

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE

Introduction to ICs and Transistor Fundamentals

A Novel Architecture of SRAM Cell Using Single Bit-Line

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

A Low Power SRAM Base on Novel Word-Line Decoding

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

Design of Low Power SRAM in 45 nm CMOS Technology

PNS-FCR: Flexible Charge Recycling Dynamic Circuit Technique for Low- Power Microprocessors

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION

PICo Embedded High Speed Cache Design Project

250nm Technology Based Low Power SRAM Memory

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES

Memory in Digital Systems

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman.

Robust SRAM Designs and Analysis

Survey on Stability of Low Power SRAM Bit Cells

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power

Standard Cell Library Design and Characterization using 45nm technology

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology

CENG 4480 L09 Memory 2

Design of local ESD clamp for cross-power-domain interface circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

Memory Classification revisited. Slide 3

UNIVERSITY OF WATERLOO

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article

CMOS Process Flow. Layout CAD Tools

Logging in, starting a shell tool, and starting the Cadence Tool Suite

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

ECE410 Design Project Spring 2013 Design and Characterization of a CMOS 8-bit pipelined Microprocessor Data Path

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

IMPLEMENTATION OF HIERARCHICAL PREDECODER/DECODER STRUCTURE IN OPENRAM OPENSOURCE MEMORY COMPILER MANJU KIRAN SUBBARAYAPPA

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

Prototype of SRAM by Sergey Kononov, et al.

ANALOG MICROELECTRONICS ( A)

Modeling and Design of high speed SRAM based Memory Chip

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Scratchpad Memory Optimizations for Digital Signal Processing Applications

More Course Information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology

Transcription:

SRAM Memory Layout Design in 180nm Technology Praveen K N M.Tech in VLSI Design & Embedded Systems JSS Academy of Technical Education, Bengaluru, India B. G. Shivaleelavathi Professor, H.O. D ECE Department, Department of Electronics & Communication Engineering, JSS Academy of Technical Education, Bengaluru, India Abstract-This paper presents a full custom memory layout design of 1KB SRAM, followed by physical verification checks, such as DRC and LVS to validate the layouts implemented. The Layout design technique such as device matching, routing matching, half-cell and symmetry has been followed carefully. The layouts were implemented using CADENCE EDA, Virtuoso platform was used for schematic and layout design. Assura physical verification environment was used for validating the layout designs. Technology nodes used are gpdk 180nm and 45nm. The full custom layout of 1KB SRAM architecture was successfully designed. Keywords SRAM, 6T Bit cell, Core array, Sense amp, Row dec, leaf cells, tap cell, latchup, antenna, device matching, DRC, LVS. I. INTRODUCTION The name Static Random Access Memory is because it can hold the data statically as long as it is powered. Static Random Access Memories (SRAM) are the type of memories which store single bit of data (logic 1 or logic 0) using multiple transistors (Ex: 4T, 6T, 7T, 8T, 9T, 10T). The most commonly used type of SRAM is 6T (Six Transistor). SRAM`s are usually placed as cache memories of the processors such as level 1 cache and level 2 cache memories, which operate in synchronous with a processor at high speed [6]. II. ARCHIETECTURE OF 1KB SRAM MEMORY ARRAY. Figure1 shows the typical SRAM Butterfly architecture. The Butterfly architecture is the type of SRAM memory architecture in which memory core array is distributed on LHS and RHS of the Row Decoder. So that the loading on the word lines reduces by 50% as compared to the single ended. Also the RC Delay of WL Signal reaching the corner bit-cells will be reduced by 50% [ ]. The following section explains 1KB SRAM Memory array Layout design in 180nm technology, using good layout design techniques. A. 6T Bit Cell 6T Bit-cell is a commonly used industrial standard bit cell in SRAM cache memory design. In order to thoroughly understand the layout design of 6T Bit-cell, it is recommended to know the read and write operations of the 6T Bit-cell. With prior understanding of read write operations, one can decide the widths of the 6 transistors in the 6T Bit-cell and can design a smallest bit cell unit, which contributes for the total SRAM memory area on the chip. Figure 2 shows the 6T Bit-cell and Figure 3 is the respective Layout of the 6T Bit cell. Figure 2: 6T Bit Cell Figure 1: SRAM Butterfly Architecture Figure 3: Layout of 6T Bit Cell 827

B. Tap Cell Tap cell is the body/bulk connection for the bit cell in the core array, one of the primary reasons for using the tap cell is to avoid latch up and other reason is to revers bias the body and active regions of both NMOS and PMOS transistors respectively. Figure 4 shows the Tap cell layout in 180nm. Since SRAM memories are capable of storing large number of bits starting from 1KB or more, the hierarchy of leaf cell such as 2x2, 4x2, 32x16 etc., along with one tap cell. D. Pre Chage Circuit Basically pre charge circuit shorts the Bit line and Bit line bar using one PMOS transistor at the centre and two remaining PMOS transistors equalizes both the bit lines to VDD. Figure 6 shows the PMOS Pre-charge circuit Figure 4: Tap Cell Figure 6: Pre Charge Circuit C. 4x2 leaf cell with Tap cell The base leaf cell 4x2 plus tap cell is used for designing the core array. Since the capacity of the SRAM Layout designed is 1KB, we need Sixty-four 4x2 leaf cells arranged horizontally and sixteen 4x2 leaf cells arranged vertically to make a total core array of one 64x128 leaf cell capable of storing 1024 bits, Figure 5 shows the 4x2 leaf cell plus tap cell layout. Figure 7: Pre-Charge Circuit layout Figure 7 show the pre charge circuit Layout in 180nm technology E. Sense Amplifier Sense amplifier is the most important block in the SRAM memory IO block, which senses the data from the core array. Sense amplifier layout needs to be matched using layout technique such as common centroid. Latch based CMOS circuit sense amplifier is used as sense amplifier whose pull down transistors are critically matched which helps in quality sense / read of the SRAM core array, Figure 8 shows typical sense amplifier Layout with device matching for pull down transistors. Figure 5: 4x2 leaf Cell plus Tap cell 828

Figure 10: 6T Bit cell LVS Clean Figure 8: Sense Amplifier Layout III.PHYSICAL VERIFICATION OF BIT CELL Physical Verification is the methodology to validate the Layout drawn before passing the layout to the Place and Route (PNR) tool in the Physical Design Domain of the ASIC flow, Figure 9 and Figure 10 shows the DRC and the Layout Versus Schematic (LVS) physical verification schemes of the IC Layout design. IV RESULTS Figure 11 shows the typical bit cell area (width x length), which decides the total area of the 64 x128 core array, which is calculated as: Bit Cell Area in 180nm = Width x Height = 7.2 x 2.3 = 16.56µm Tap Cell Placement by DRC = 10µm Leaf Cell Height=2.3x4 = 9.2 µm Figure 11: Area of 6T bit cell in 180nm and Tap cell Requirement Figure 9: 6T Bit cell DRC Clean Figure 12: Area of 6T bit-cell in 180nm and Tap cell Requirement 829

From Figure 11 and Figure 12, we can conclude that the Moore`s law defined by Gordon Moore, proved to be at a great extent moving from 180nm technology to 45nm technology, the aim of this paper was to show the bit cell layout design technique, with tap cell requirement and to compare between 180nm and 45nm technology nodes, proving Moore s law. Figure 13 shows the implementation of typical top level layout of 64 x128 SRAM in 180nm technology Figure 13: 6T SRAM Bit cell in 45nm technology node Figure 14 below shows the typical TAP Cell layout, which will be placed in the core array to meet latch up and body effect requirements Figure 13: 64 x 128 SRAM Top Level Layouts Layout design of 1KB SRAM Array is shown in Figure 14, is implemented in 45nm technology node. The leaf cell is of 32 x 16 to implement a core array of 64x128 meeting TAP cell requirements, in 45nm technology, figure 12 shows the 6T Bit cell Layout in 45nm technology Figure 14: 16 x 32 leaves Cell Layout in 45nm technology node The tap cell requirement in 45nm technology node was 10um which half the tap cell requirement in 180nm technology, and this proves the Moore s law of number transistors increases or doubles for every 18 months, figure 13 shows the 6T Bit cell layout in 45nm technology Figure 14: TAP Cell in 45nm Technology node CONCLUSION With pre-designed Schematics, the Layout Design of 1KB SRAM Memory Array was implemented successfully in CADENCE platform, using generic process design kit (gpdk) 180nm as fabrication technology. The physical verification (DRC and LVS) of all the layouts drawn is done and fixed all violations. The 6T Bit cell layout was designed with minimum and without any DRC violations. Bit cell area played very important role in deciding total SARM Core array area and SRAM memory density. 4X2 leaf cell height was fixed meeting the TAP Requirements of the gpdk 180nm DRM file. Finally the tap requirements in 180nm and 45nm showed that, as the technology shrinks more number of transistors can be accommodated in the smaller area. ACKNOWLEDGEMENT It is pleasure to thank Dr. B. G. Shivaleelavathi, Professor and HOD for the Department of Electronics and Communication Engineering, JSSATE for her kind support and guidance. Special thanks to my external guide Mr Ravi Siddhanath, Sr. Design Engineer, Avago Technologies, Bangalore 830

REFERENCES [1] K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N.Vallepalli, Y.Wang, B. Zheng, M. Bohr A 3-GHz 70Mb SRAM in 65nm CMOS ISSCC 2005 /SESSION 26 / NON-VOLATILE MEMORY / 26.1 Intel, Hillsboro. [2] K. Itoh et al., A Deep Sub-V, Single Power-Supply SRAM Cell with Multi-Vt, Boosted Storage Node and Dynamic Load, Symp.VLSI Circuits, pp. 132-133, June, 1996 [3] K. Kanda et al., Two Orders of Magnitude Leakage Power Reductio of Low Voltage SRAM s by Row-by-Row Dynamic Vdd Control (RRDV) Scheme, EEE Int. ASIC/SOC Conf., Sept. 2002, vol, no., pp: 381-385, [4] M. Yamaoka, et al., 0.4V Logic-Library-Friendly SRAM Array Using Rectangular-Diffusion Cell and Detla-Boosted- Array Voltage Scheme, IEEE J.Solid-State Circuits, Vol. 39, No. 6, pp. 934-940, June, 2004 [5] K. Zhang et al., A SRAM Design on 65nm CMOS Technology with Integrated Leakage Reduction, Symp.VLSI Circuits, pp. 294-295, June, 2004 [6] Robust Analysis and Design of SRAM by Jawar Singh, Saraju P.Mohanthy, Dhiraj K. Pradhan, ISBN 978-1-4614-0817-8 Springer Science+Bussiness Media New York 2013 [7] Neil H.E. Weste David Harris, Ayan Banerjee, CMOS VLSI Design, A circuits and System Perspective,3rd Edition, ( Datapath sub systems) HaripalKochhar1, Subodh Kansal2 and Sharmelee Thangjam 831