Computer Architecture

Similar documents
Lecture 3. Pipelining. Dr. Soner Onder CS 4431 Michigan Technological University 9/23/2009 1

Pipeline Overview. Dr. Jiang Li. Adapted from the slides provided by the authors. Jiang Li, Ph.D. Department of Computer Science

Modern Computer Architecture

EI338: Computer Systems and Engineering (Computer Architecture & Operating Systems)

CISC 662 Graduate Computer Architecture Lecture 6 - Hazards

Lecture 2: Processor and Pipelining 1

COSC 6385 Computer Architecture - Pipelining

Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted from Hennessy & Patterson / 2003 Elsevier

CSE 502 Graduate Computer Architecture. Lec 3-5 Performance + Instruction Pipelining Review

Advanced Computer Architecture

Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted from Hennessy & Patterson / 2003 Elsevier

CSE 502 Graduate Computer Architecture. Lec 3-5 Performance + Instruction Pipelining Review

Pipelining! Advanced Topics on Heterogeneous System Architectures. Politecnico di Milano! Seminar DEIB! 30 November, 2017!

Computer Architecture Spring 2016

MIPS An ISA for Pipelining

Computer Architecture and System

Lecture 05: Pipelining: Basic/ Intermediate Concepts and Implementation

COSC4201 Pipelining. Prof. Mokhtar Aboelaze York University

Computer Architecture and System

CSE 502 Graduate Computer Architecture. Lec 4-6 Performance + Instruction Pipelining Review

Pipelining: Hazards Ver. Jan 14, 2014

CISC 662 Graduate Computer Architecture Lecture 6 - Hazards

EITF20: Computer Architecture Part2.2.1: Pipeline-1

CPE Computer Architecture. Appendix A: Pipelining: Basic and Intermediate Concepts

Overview. Appendix A. Pipelining: Its Natural! Sequential Laundry 6 PM Midnight. Pipelined Laundry: Start work ASAP

EITF20: Computer Architecture Part2.2.1: Pipeline-1

Appendix A. Overview

Pipeline Review. Review

Appendix C: Pipelining: Basic and Intermediate Concepts

EITF20: Computer Architecture Part2.2.1: Pipeline-1

Advanced Computer Architecture Pipelining

Minimizing Data hazard Stalls by Forwarding Data Hazard Classification Data Hazards Present in Current MIPS Pipeline

Chapter 4 The Processor 1. Chapter 4B. The Processor

The Big Picture Problem Focus S re r g X r eg A d, M lt2 Sub u, Shi h ft Mac2 M l u t l 1 Mac1 Mac Performance Focus Gate Source Drain BOX

CSE 533: Advanced Computer Architectures. Pipelining. Instructor: Gürhan Küçük. Yeditepe University

Chapter 4 The Processor 1. Chapter 4A. The Processor

Pipelining: Basic and Intermediate Concepts

Basic Pipelining Concepts

Instruction Level Parallelism. Appendix C and Chapter 3, HP5e

Computer Systems Architecture Spring 2016

第三章 Instruction-Level Parallelism and Its Dynamic Exploitation. 陈文智 浙江大学计算机学院 2014 年 10 月

Lecture 1: Introduction

Lecture 3: The Processor (Chapter 4 of textbook) Chapter 4.1

Instruction Pipelining Review

LECTURE 3: THE PROCESSOR

Data Hazards Compiler Scheduling Pipeline scheduling or instruction scheduling: Compiler generates code to eliminate hazard

CMSC 411 Computer Systems Architecture Lecture 6 Basic Pipelining 3. Complications With Long Instructions

Pipelining. Maurizio Palesi

Processor Architecture. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

HY425 Lecture 05: Branch Prediction

Lecture 9. Pipeline Hazards. Christos Kozyrakis Stanford University

COMPUTER ORGANIZATION AND DESIGN

Chapter 4. The Processor

MIPS Pipelining. Computer Organization Architectures for Embedded Computing. Wednesday 8 October 14

Chapter 4. The Processor

Processor Architecture

CS 110 Computer Architecture. Pipelining. Guest Lecture: Shu Yin. School of Information Science and Technology SIST

The Processor: Improving the performance - Control Hazards

Department of Computer and IT Engineering University of Kurdistan. Computer Architecture Pipelining. By: Dr. Alireza Abdollahpouri

Instr. execution impl. view

Outline. Pipelining basics The Basic Pipeline for DLX & MIPS Pipeline hazards. Handling exceptions Multi-cycle operations

Appendix C. Abdullah Muzahid CS 5513

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. 5 th. Edition. Chapter 4. The Processor

What is Pipelining? Time per instruction on unpipelined machine Number of pipe stages

The Processor. Z. Jerry Shi Department of Computer Science and Engineering University of Connecticut. CSE3666: Introduction to Computer Architecture

(Basic) Processor Pipeline

COMPUTER ORGANIZATION AND DESIGN

Advanced Parallel Architecture Lessons 5 and 6. Annalisa Massini /2017

Pipeline Hazards. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

The Processor Pipeline. Chapter 4, Patterson and Hennessy, 4ed. Section 5.3, 5.4: J P Hayes.

Computer Architecture. Lecture 6.1: Fundamentals of

ECE232: Hardware Organization and Design

COMP2611: Computer Organization. The Pipelined Processor

Appendix C. Instructor: Josep Torrellas CS433. Copyright Josep Torrellas 1999, 2001, 2002,

Very Simple MIPS Implementation

Graduate Computer Architecture. Chapter 3. Instruction Level Parallelism and Its Dynamic Exploitation

Pipelining concepts The DLX architecture A simple DLX pipeline Pipeline Hazards and Solution to overcome

Pipelining concepts The DLX architecture A simple DLX pipeline Pipeline Hazards and Solution to overcome

Outline. A pipelined datapath Pipelined control Data hazards and forwarding Data hazards and stalls Branch (control) hazards Exception

CS 61C: Great Ideas in Computer Architecture Pipelining and Hazards

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 4. The Processor

DLX Unpipelined Implementation

Full Datapath. Chapter 4 The Processor 2

Pipelining Analogy. Pipelined laundry: overlapping execution. Parallelism improves performance. Four loads: Non-stop: Speedup = 8/3.5 = 2.3.

ECE154A Introduction to Computer Architecture. Homework 4 solution

Computer and Information Sciences College / Computer Science Department Enhancing Performance with Pipelining

CSEE 3827: Fundamentals of Computer Systems

Instruction word R0 R1 R2 R3 R4 R5 R6 R8 R12 R31

Recall from Pipelining Review. Lecture 16: Instruction Level Parallelism and Dynamic Execution #1: Ideas to Reduce Stalls

Advanced Computer Architecture

Very Simple MIPS Implementation

EECC551 Review. Dynamic Hardware-Based Speculation

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 4. The Processor

Chapter 4. Instruction Execution. Introduction. CPU Overview. Multiplexers. Chapter 4 The Processor 1. The Processor.

Instruction Level Parallelism. ILP, Loop level Parallelism Dependences, Hazards Speculation, Branch prediction

1 Hazards COMP2611 Fall 2015 Pipelined Processor

Page 1. Recall from Pipelining Review. Lecture 16: Instruction Level Parallelism and Dynamic Execution #1: Ideas to Reduce Stalls

Determined by ISA and compiler. We will examine two MIPS implementations. A simplified version A more realistic pipelined version

Chapter 4. The Processor

CENG 3420 Lecture 06: Pipeline

Transcription:

Lecture 3: Pipelining Iakovos Mavroidis Computer Science Department University of Crete 1

Previous Lecture Measurements and metrics : Performance, Cost, Dependability, Power Guidelines and principles in the design of computers Monday 8/10 Friday 12/10 Monday 15/10 Friday 19/10 Wednesday 17/10 TBD 2

Outline Processor review Hazards Structural Data Control Performance Exceptions 3

Clock Cycle Latch or register Combinational Logic Old days: 10 levels of gates Today: determined by numerous time-of-flight issues + gate delays clock propagation, wire lengths, drivers 4

Datapath vs Control Datapath Controller signals Control Points Datapath: Storage, FU, interconnect sufficient to perform the desired functions Inputs are Control Points Outputs are signals Controller: State machine to orchestrate operation on the data path Based on desired function and signals 5

Typical RISC ISA 32-bit fixed format instruction (3 formats) 32 32-bit GPR (R0 contains zero, DP take pair) 3-address, reg-reg arithmetic instruction Single address mode for load/store: base + displacement no indirection Simple branch conditions Delayed branch see: SPARC, MIPS, HP PA-Risc, DEC Alpha, IBM PowerPC, CDC 6600, CDC 7600, Cray-1, Cray-2, Cray-3 6

Example: 32bit MIPS ister-ister 31 26 25 21 20 16 15 11 10 6 5 0 Op Rs1 Rs2 Rd Opx ister-immediate 31 26 25 21 20 16 15 0 Op Rs1 Rd immediate Branch 31 26 25 21 20 16 15 0 Op Rs1 Rs2/Opx immediate Jump / Call 31 25 0 Op target Example: lw $2, 100($5) add $4, $5, $6 beq $3, $4, label 7

Example Execution Steps Instruction Fetch Instruction Decode Obtain instruction from program storage Determine required actions and instruction size Processor regs Memory program Operand Fetch Locate and obtain operand data F.U.s Data Execute Result Store Compute result value or status Deposit results in storage for later use von Neuman bottleneck Next Instruction Determine successor instruction 5-stage execution is a bit different (see next slides) 8

Pipelining: Latency vs Throughput Start: A B C D 30 40 40 40 40 20 A B C D Pipelining doesn t help latency of single task, it helps throughput of entire workload 9

WB Data 5-stage Instruction Execution - Datapath Instruction Fetch Instr. Decode. Fetch Execute Addr. Calc Memory Access Write Back Next PC Next SEQ PC Next SEQ PC MUX 4 Adder RS1 Zero? Address IR <= mem[pc]; PC <= PC + 4 A <= [IR rs ]; B <= [IR rt ] Memory IF/ID RS2 Imm File Sign Extend ID/EX MUX MUX EX/MEM RD RD RD Data Memory MEM/WB MUX rslt <= A op IRop B WB <= rslt [IR rd ] <= WB Data stationary control local decode for each instruction phase / pipeline stage 10

Visualizing Pipelining Time (clock cycles) I n s t r. Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 O r d e r 11

5-stage Instruction Execution - Control Pipeline isters: IR, A, B, r, WB 12

Limits in Pipelining Limits to pipelining: Hazards prevent next instruction from executing during its designated clock cycle Structural hazards: HW cannot support this combination of instructions (single person to fold and put clothes away) Data hazards: Instruction depends on result of prior instruction still in the pipeline (missing sock) Control hazards: Caused by delay between the fetching of instructions and decisions about changes in control flow (branches and jumps). 13

Example of Structural Hazard Time (clock cycles) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 I n s t r. O r d e r Load Instr 1 Instr 2 Instr 3 Instr 4 14

Example of Structural Hazard Time (clock cycles) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 I n s t r. O r d e r Load Instr 1 Instr 2 Stall Instr 3 Bubble Bubble Bubble Bubble Bubble How do you bubble this pipe (if instr1 = load)? 15

Speed Up Equation of Pipelining For simple RISC pipeline, Ideal CPI = 1: 16

Example: Dual-port vs Single-port Machine A: Dual read ported memory ( Harvard Architecture ) Machine B: Single read ported memory, but its pipelined implementation has a 1.05 times faster clock rate Ideal CPI = 1 for both Suppose that Loads are 40% of instructions executed Machine A is 1.33 times faster 17

Data Hazard Time (clock cycles) IF ID/RF EX MEM WB I n s t r. add r1,r2,r3 sub r4,r1,r3 O r d e r and r6,r1,r7 or r8,r1,r9 xor r10,r1,r11 18

Read After Write Read After Write (RAW) Instr J tries to read operand before Instr I writes it I: add r1,r2,r3 J: sub r4,r1,r3 Caused by a Dependence (in compiler nomenclature). This hazard results from an actual need for communication. 19

Write After Read Write After Read (WAR) Instr J writes operand before Instr I reads it I: sub r4,r1,r3 J: add r1,r2,r3 K: mul r6,r1,r7 Called an anti-dependence by compiler writers. This results from reuse of the name r1. Can t happen in MIPS 5 stage pipeline because: All instructions take 5 stages, and Reads are always in stage 2, and Writes are always in stage 5 20

Write After Write Write After Write (WAW) Instr J writes operand before Instr I writes it. I: sub r1,r4,r3 J: add r1,r2,r3 K: mul r6,r1,r7 Called an output dependence by compiler writers This also results from the reuse of name r1. Can t happen in MIPS 5 stage pipeline because: All instructions take 5 stages, and Writes are always in stage 5 Will see WAR and WAW in more complicated pipes 21

Forwarding to avoid data hazards Time (clock cycles) I n s t r. add r1,r2,r3 sub r4,r1,r3 O r d e r and r6,r1,r7 or r8,r1,r9 xor r10,r1,r11 22

HW Change for Forwarding NextPC isters ID/EX mux mux EX/MEM Data Memory MEM/WR Immediate mux What circuit detects and resolves this hazard? Why we need forwarding lines for both inputs of the? 23

Forwarding to Avoid LW-SW Data Hazard Time (clock cycles) I n s t r. add r1,r2,r3 lw r4, 0(r1) O r d e r sw r4,12(r1) or r8,r6,r9 xor r10,r9,r11 24

Data Hazard Even with Forwarding Time (clock cycles) I n s t r. lw r1, 0(r2) sub r4,r1,r6 O r d e r and r6,r1,r7 or r8,r1,r9 25

Data Hazard Even with Forwarding Time (clock cycles) I n s t r. lw r1, 0(r2) sub r4,r1,r6 Bubble O r d e r and r6,r1,r7 or r8,r1,r9 Bubble Bubble 26

Software Scheduling to Avoid Load Hazards Try producing fast code for a = b + c; d = e f; assuming a, b, c, d,e, and f in memory. Slow code: LW LW ADD SW LW LW SUB SW Rb,b Rc,c Ra,Rb,Rc a,ra Re,e Rf,f Rd,Re,Rf d,rd Fast code: LW LW LW ADD LW SW SUB SW Rb,b Rc,c Re,e Ra,Rb,Rc Rf,f a,ra Rd,Re,Rf d,rd 27

Control Hazard on Branches Three Stage Stall 10: beq r1,r3,36 14: and r2,r3,r5 18: or r6,r1,r7 22: add r8,r1,r9 36: xor r10,r1,r11 What do you do with the 3 instructions in between? How do you do it? Where is the commit? 28

Branch Stall Impact If CPI = 1, 30% branch, Stall 3 cycles => new CPI = 1.9! Two part solution: Determine branch taken or not sooner, AND Compute taken branch address earlier MIPS branch tests if register = 0 or 0 MIPS Solution: Move Zero test to ID/RF stage Adder to calculate new PC in ID/RF stage 1 clock cycle penalty for branch versus 3 29

WB Data Pipelined MIPS Datapath Instruction Fetch Instr. Decode. Fetch Execute Addr. Calc Memory Access Write Back Next PC 4 Adder Next SEQ PC RS1 Adder MUX Zero? Address Memory IF/ID RS2 File ID/EX MUX EX/MEM Data Memory MEM/WB MUX Imm Sign Extend RD RD RD Interplay of instruction set design and cycle time. 30

Four Branch Hazard Alternatives #1: Stall until branch direction is clear #2: Predict Branch Not Taken Execute successor instructions in sequence Squash instructions in pipeline if branch actually taken Advantage of late pipeline state update 47% MIPS branches not taken on average PC+4 already calculated, so use it to get next instruction #3: Predict Branch Taken 53% MIPS branches taken on average But haven t calculated branch target address in MIPS MIPS still incurs 1 cycle branch penalty Other machines: branch target known before outcome What happens when hit not-taken branch? 31

Four Branch Hazard Alternatives #4: Delayed Branch Define branch to take place AFTER a following instruction branch instruction sequential successor 1 sequential successor 2... sequential successor n branch target if taken Branch delay of length n 1 slot delay allows proper decision and branch target address in 5 stage pipeline MIPS uses this 32

Scheduling Branch Delay Slots A. From before branch B. From branch target C. From fall through add $1,$2,$3 if $2=0 then sub $4,$5,$6 add $1,$2,$3 if $1=0 then delay slot add $1,$2,$3 if $1=0 then delay slot delay slot sub $4,$5,$6 becomes becomes becomes add $1,$2,$3 if $2=0 then if $1=0 then add $1,$2,$3 add $1,$2,$3 if $1=0 then sub $4,$5,$6 sub $4,$5,$6 A is the best choice, fills delay slot & reduces instruction count (IC) In B, the sub instruction may need to be copied, increasing IC In B and C, must be okay to execute sub when branch fails 33

Delayed Branch Compiler effectiveness for single branch delay slot: Fills about 60% of branch delay slots About 80% of instructions executed in branch delay slots useful in computation About 50% (60% x 80%) of slots usefully filled Delayed Branch downside: As processor go to deeper pipelines and multiple issue, the branch delay grows and need more than one delay slot Delayed branching has lost popularity compared to more expensive but more flexible dynamic approaches Growth in available transistors has made dynamic approaches relatively cheaper 34

Evaluating Branch Alternatives Pipeline speedup = Pipeline depth 1 +Branch frequency Branch penalty Deep pipeline in this example 35

Problems with Pipelining Exception: An unusual event happens to an instruction during its execution Examples: divide by zero, undefined opcode Interrupt: Hardware signal to switch the processor to a new instruction stream Example: a sound card interrupts when it needs more audio output samples (an audio click happens if it is left waiting) Problem: It must appear that the exception or interrupt must appear between 2 instructions (I i and I i+1 ) The effect of all instructions up to and including I i is totalling complete No effect of any instruction after I i can take place The interrupt (exception) handler either aborts program or restarts at instruction I i+1 36

Precise Exceptions in Static Pipelines Key observation: architected state only change in memory and register write stages. 37

Summary: Pipelining Next time: Read Appendix A Control VIA State Machines and Microprogramming Just overlap tasks; easy if tasks are independent Speed Up Pipeline Depth; if ideal CPI is 1, then: Speedup 1 Pipeline depth Pipeline stall CPI Cycle Cycle Time Time unpipeline d pipelined Hazards limit performance on computers: Structural: need more HW resources Data (RAW,WAR,WAW): need forwarding, compiler scheduling Control: delayed branch, prediction Exceptions, Interrupts add complexity 38