CS 152 Computer Architecture and Engineering. Lecture 13 - Out-of-Order Issue and Register Renaming

Similar documents
CS 252 Graduate Computer Architecture. Lecture 4: Instruction-Level Parallelism

ECE 552 / CPS 550 Advanced Computer Architecture I. Lecture 9 Instruction-Level Parallelism Part 2

Complex Pipelining: Out-of-order Execution & Register Renaming. Multiple Function Units

CS 152 Computer Architecture and Engineering. Lecture 10 - Complex Pipelines, Out-of-Order Issue, Register Renaming

ECE 252 / CPS 220 Advanced Computer Architecture I. Lecture 8 Instruction-Level Parallelism Part 1

C 1. Last time. CSE 490/590 Computer Architecture. Complex Pipelining I. Complex Pipelining: Motivation. Floating-Point Unit (FPU) Floating-Point ISA

Announcements. ECE4750/CS4420 Computer Architecture L11: Speculative Execution I. Edward Suh Computer Systems Laboratory

Complex Pipelining. Motivation

CS252 Graduate Computer Architecture Lecture 8. Review: Scoreboard (CDC 6600) Explicit Renaming Precise Interrupts February 13 th, 2010

CS 152 Computer Architecture and Engineering. Lecture 12 - Advanced Out-of-Order Superscalars

Donn Morrison Department of Computer Science. TDT4255 ILP and speculation

CS 152, Spring 2011 Section 8

Lecture 4 Pipelining Part II

Processor: Superscalars Dynamic Scheduling

CS252 Graduate Computer Architecture Lecture 6. Recall: Software Pipelining Example

Scoreboard information (3 tables) Four stages of scoreboard control

CISC 662 Graduate Computer Architecture. Lecture 10 - ILP 3

Static vs. Dynamic Scheduling

Page 1. Recall from Pipelining Review. Lecture 16: Instruction Level Parallelism and Dynamic Execution #1: Ideas to Reduce Stalls

Tomasulo s Algorithm

CS 152 Computer Architecture and Engineering CS252 Graduate Computer Architecture. Lecture 4 Pipelining Part II

CS 152 Computer Architecture and Engineering

Page 1. Recall from Pipelining Review. Lecture 15: Instruction Level Parallelism and Dynamic Execution

Review: Compiler techniques for parallelism Loop unrolling Ÿ Multiple iterations of loop in software:

COSC 6385 Computer Architecture - Pipelining (II)

CPE 631 Lecture 10: Instruction Level Parallelism and Its Dynamic Exploitation

CPE 631 Lecture 10: Instruction Level Parallelism and Its Dynamic Exploitation

ECE 552 / CPS 550 Advanced Computer Architecture I. Lecture 15 Very Long Instruction Word Machines

CS252 Spring 2017 Graduate Computer Architecture. Lecture 8: Advanced Out-of-Order Superscalar Designs Part II

CS 152 Computer Architecture and Engineering. Lecture 5 - Pipelining II (Branches, Exceptions)

Lecture 4 - Pipelining

Lecture-13 (ROB and Multi-threading) CS422-Spring

Lecture 13 - VLIW Machines and Statically Scheduled ILP

CISC 662 Graduate Computer Architecture Lecture 11 - Hardware Speculation Branch Predictions

Chapter 3 Instruction-Level Parallelism and its Exploitation (Part 1)

Recall from Pipelining Review. Lecture 16: Instruction Level Parallelism and Dynamic Execution #1: Ideas to Reduce Stalls

CS 152 Computer Architecture and Engineering. Lecture 7 - Memory Hierarchy-II

Load1 no Load2 no Add1 Y Sub Reg[F2] Reg[F6] Add2 Y Add Reg[F2] Add1 Add3 no Mult1 Y Mul Reg[F2] Reg[F4] Mult2 Y Div Reg[F6] Mult1

CS 152 Computer Architecture and Engineering. Lecture 7 - Memory Hierarchy-II

ECE 252 / CPS 220 Advanced Computer Architecture I. Lecture 14 Very Long Instruction Word Machines

CPE 631 Lecture 11: Instruction Level Parallelism and Its Dynamic Exploitation

CS152 Computer Architecture and Engineering. Complex Pipelines

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

COSC4201 Instruction Level Parallelism Dynamic Scheduling

ECE 552 / CPS 550 Advanced Computer Architecture I. Lecture 6 Pipelining Part 1

CS 152 Computer Architecture and Engineering. Lecture 13 - VLIW Machines and Statically Scheduled ILP

Lecture 12 Branch Prediction and Advanced Out-of-Order Superscalars

ESE 545 Computer Architecture Instruction-Level Parallelism (ILP) and Static & Dynamic Instruction Scheduling Instruction level parallelism

Chapter 3 (CONT II) Instructor: Josep Torrellas CS433. Copyright J. Torrellas 1999,2001,2002,2007,

CISC 662 Graduate Computer Architecture Lecture 7 - Multi-cycles. Interrupts and Exceptions. Device Interrupt (Say, arrival of network message)

Hardware-based speculation (2.6) Multiple-issue plus static scheduling = VLIW (2.7) Multiple-issue, dynamic scheduling, and speculation (2.

CISC 662 Graduate Computer Architecture Lecture 7 - Multi-cycles

CSC 631: High-Performance Computer Architecture

Advanced issues in pipelining

DYNAMIC INSTRUCTION SCHEDULING WITH SCOREBOARD

CSE 820 Graduate Computer Architecture. week 6 Instruction Level Parallelism. Review from Last Time #1

Adapted from David Patterson s slides on graduate computer architecture

Reduction of Data Hazards Stalls with Dynamic Scheduling So far we have dealt with data hazards in instruction pipelines by:

Computer Systems Architecture I. CSE 560M Lecture 5 Prof. Patrick Crowley

CS152 Computer Architecture and Engineering March 13, 2008 Out of Order Execution and Branch Prediction Assigned March 13 Problem Set #4 Due March 25

Website for Students VTU NOTES QUESTION PAPERS NEWS RESULTS

Instruction Level Parallelism

Branch Prediction & Speculative Execution. Branch Penalties in Modern Pipelines

Dynamic Scheduling. CSE471 Susan Eggers 1

Complex Pipelining COE 501. Computer Architecture Prof. Muhamed Mudawar

Instruction-Level Parallelism and Its Exploitation

TDT4255 Computer Design. Review Lecture. Magnus Jahre. TDT4255 Computer Design

CS 152 Computer Architecture and Engineering. Lecture 16 - VLIW Machines and Statically Scheduled ILP

Handout 2 ILP: Part B

CS 152 Computer Architecture and Engineering CS252 Graduate Computer Architecture. Lecture 3 - Pipelining

Lecture 19: Instruction Level Parallelism

ESE 545 Computer Architecture Instruction-Level Parallelism (ILP): Speculation, Reorder Buffer, Exceptions, Superscalar Processors, VLIW

E0-243: Computer Architecture

5008: Computer Architecture

Metodologie di Progettazione Hardware-Software

Pipelining: Issue instructions in every cycle (CPI 1) Compiler scheduling (static scheduling) reduces impact of dependences

This Set. Scheduling and Dynamic Execution Definitions From various parts of Chapter 4. Description of Three Dynamic Scheduling Methods

CMCS Mohamed Younis CMCS 611, Advanced Computer Architecture 1

EITF20: Computer Architecture Part2.2.1: Pipeline-1

Announcement. ECE475/ECE4420 Computer Architecture L4: Advanced Issues in Pipelining. Edward Suh Computer Systems Laboratory

Advantages of Dynamic Scheduling

The basic structure of a MIPS floating-point unit

EITF20: Computer Architecture Part2.2.1: Pipeline-1

Detailed Scoreboard Pipeline Control. Three Parts of the Scoreboard. Scoreboard Example Cycle 1. Scoreboard Example. Scoreboard Example Cycle 3

Lecture 3 - Pipelining

Compiler Optimizations. Lecture 7 Overview of Superscalar Techniques. Memory Allocation by Compilers. Compiler Structure. Register allocation

Instruction Level Parallelism (ILP)

ECE 4750 Computer Architecture, Fall 2017 T10 Advanced Processors: Out-of-Order Execution

CS 152 Computer Architecture and Engineering. Lecture 11 - Virtual Memory and Caches

What is ILP? Instruction Level Parallelism. Where do we find ILP? How do we expose ILP?

Graduate Computer Architecture. Chapter 3. Instruction Level Parallelism and Its Dynamic Exploitation

EITF20: Computer Architecture Part2.2.1: Pipeline-1

ELE 818 * ADVANCED COMPUTER ARCHITECTURES * MIDTERM TEST *

Hardware-based Speculation

EITF20: Computer Architecture Part3.2.1: Pipeline - 3

NOW Handout Page 1. Review from Last Time #1. CSE 820 Graduate Computer Architecture. Lec 8 Instruction Level Parallelism. Outline

Reorder Buffer Implementation (Pentium Pro) Reorder Buffer Implementation (Pentium Pro)

CS 423 Computer Architecture Spring Lecture 04: A Superscalar Pipeline

ELEC 5200/6200 Computer Architecture and Design Fall 2016 Lecture 9: Instruction Level Parallelism

CS 152, Spring 2012 Section 8

Transcription:

CS 152 Computer Architecture and Engineering Lecture 13 - Out-of-Order Issue and Register Renaming Krste Asanovic Electrical Engineering and Computer Sciences University of California at Berkeley http://wwweecsberkeleyedu/~krste http://insteecsberkeleyedu/~cs152 Last time in Lecture 12 Pipelining is complicated by multiple and/or variable latency functional units Out-of-order and/or pipelined execution requires tracking of dependencies RAW WAR WAW Dynamic issue logic can support out-of-order execution to improve performance Last time, looked at simple scoreboard to track out-of-order completion 3/13/2008 CS152-Spring!08 2

In-Order Issue Pipeline ALU Mem IF ID Issue WB GPR s FPR s Fadd Fmul Fdiv 3/13/2008 CS152-Spring!08 3 Scoreboard for In-order Issues Busy[FU#] : a bit-vector to indicate FU s availability (FU = Int, Add, Mult, Div) These bits are hardwired to FU's WP[reg#] : a bit-vector to record the registers for which writes are pending These bits are set to true by the Issue stage and set to false by the WB stage Issue checks the instruction (opcode dest src1 src2) against the scoreboard (Busy & WP) to dispatch FU available? RAW? WAR? WAW? Busy[FU#] WP[src1] or WP[src2] cannot arise WP[dest] 3/13/2008 CS152-Spring!08 4

In-Order Issue Limitations: an example latency 1 LD F2, 34(R2) 1 1 2 2 LD F4, 45(R3) long 3 MULTD F6, F4, F2 3 4 SUBD F8, F2, F2 1 5 DIVD F4, F2, F8 4 4 5 3 6 ADDD F10, F6, F4 1 6 In-order: 1 (2,1) 2 3 4 4 3 5 5 6 6 In-order restriction prevents instruction 4 from being dispatched 3/13/2008 CS152-Spring!08 5 Out-of-Order Issue ALU Mem IF ID Issue WB Fadd Fmul Issue stage buffer holds multiple instructions waiting to issue Decode adds next instruction to buffer if there is space and the instruction does not cause a WAR or WAW hazard Any instruction in buffer whose RAW hazards are satisfied can be issued (for now at most one dispatch per cycle) On a write back (WB), new instructions may get enabled 3/13/2008 CS152-Spring!08 6

Issue Limitations: In-Order and Out-of-Order latency 1 LD F2, 34(R2) 1 1 2 2 LD F4, 45(R3) long 3 MULTD F6, F4, F2 3 4 SUBD F8, F2, F2 1 5 DIVD F4, F2, F8 4 4 5 3 6 ADDD F10, F6, F4 1 6 In-order: 1 (2,1) 2 3 4 4 3 5 5 6 6 Out-of-order: 1 (2,1) 4 4 2 3 3 5 5 6 6 Out-of-order execution did not allow any significant improvement! 3/13/2008 CS152-Spring!08 7 How many Instructions can be in the pipeline Which features of an ISA limit the number of instructions in the pipeline? Number of Registers Which features of a program limit the number of instructions in the pipeline? Control transfers Out-of-order dispatch by itself does not provide any significant performance improvement! 3/13/2008 CS152-Spring!08 8

Overcoming the Lack of Register Names Floating Point pipelines often cannot be kept filled with small number of registers IBM 360 had only 4 Floating Point Registers Can a microarchitecture use more registers than specified by the ISA without loss of ISA compatibility? Robert Tomasulo of IBM suggested an ingenious solution in 1967 based on on-the-fly register renaming 3/13/2008 CS152-Spring!08 9 Little s Law Throughput (T) = Number in Flight (N) / Latency (L) Issue Execution WB Example: --- 4 floating point registers --- 8 cycles per floating point operation!! issues per cycle! 3/13/2008 CS152-Spring!08 10

Instruction-level Parallelism via Renaming latency 1 LD F2, 34(R2) 1 1 2 2 LD F4, 45(R3) long 3 MULTD F6, F4, F2 3 4 SUBD F8, F2, F2 1 4 X 3 5 DIVD F4, F2, F8 4 5 6 ADDD F10, F6, F4 1 6 In-order: 1 (2,1) 2 3 4 4 3 5 5 6 6 Out-of-order: 1 (2,1) 4 4 5 2 (3,5) 3 6 6 Any antidependence can be eliminated by renaming (renaming! additional storage) Can it be done in hardware? yes! 3/13/2008 CS152-Spring!08 11 Register Renaming ALU Mem IF ID Issue WB Fadd Fmul Decode does register renaming and adds instructions to the issue stage reorder buffer (ROB)! renaming makes WAR or WAW hazards impossible Any instruction in ROB whose RAW hazards have been satisfied can be dispatched! Out-of-order or dataflow execution 3/13/2008 CS152-Spring!08 12

Dataflow execution Ins# use exec op p1 src1 p2 src2 ptr 2 next to deallocate t 1 t 2 prt 1 next available Reorder buffer t n Instruction slot is candidate for execution when: It holds a valid instruction ( use bit is set) It has not already started execution ( exec bit is clear) Both operands are available (p1 and p2 are set) 3/13/2008 CS152-Spring!08 13 Renaming & Out-of-order Issue An example v1 Renaming table p data F1 F2 v1 t1 F3 F4 t2 t5 F5 F6 t3 F7 F8 v4 t4 Reorder buffer Ins# use exec op p1 src1 p2 src2 1 1 0 10 LD 2 10 01 LD 3 1 0 MUL 10 v2 t2 1 v1 4 1 0 10 SUB 1 v1 1 v1 5 1 0 DIV 1 v1 01 t4 v4 t 1 t 2 t 3 t 4 t 5 data / t i 1 LD F2, 34(R2) 2 LD F4, 45(R3) 3 MULTD F6, F4, F2 4 SUBD F8, F2, F2 5 DIVD F4, F2, F8 6 ADDD F10, F6, F4 When are names in sources replaced by data? Whenever an FU produces data When can a name be reused? Whenever an instruction completes 3/13/2008 CS152-Spring!08 14

CS152 Administrivia Quiz 2 to be handed back at end of class Lab 3 due today (?) Quiz 3 on Tuesday 3/13/2008 CS152-Spring!08 15 Quiz 2 Results 3/13/2008 CS152-Spring!08 16

Data-Driven Execution Renaming table & reg file Reorder buffer Ins# use exec op p1 src1 p2 src2 t 1 t2 t n Replacing the tag by its value is an expensive operation Load Unit 3/13/2008 CS152-Spring!08 17 FU FU Store Unit < t, result > Instruction template (ie, tag t) is allocated by the Decode stage, which also stores the tag in the reg file When an instruction completes, its tag is deallocated Simplifying Allocation/Deallocation Ins# use exec op p1 src1 p2 src2 ptr 2 next to deallocate t 1 t 2 prt 1 next available Reorder buffer t n Instruction buffer is managed circularly exec bit is set when instruction begins execution When an instruction completes its use bit is marked free ptr 2 is incremented only if the use bit is marked free 3/13/2008 CS152-Spring!08 18

IBM 360/91 Floating Point Unit R M Tomasulo, 1967 1 2 3 4 5 6 data load buffers (from memory) instructions 1 2 3 4 p data Floating Point Reg distribute instruction templates by functional units 1 2 3 p data p data Adder 1 2 p data p data Mult < t, result > store buffers (to memory) p data Common bus ensures that data is made available immediately to all the instructions waiting for it 3/13/2008 CS152-Spring!08 19 Effectiveness? Renaming and Out-of-order execution was first implemented in 1969 in IBM 360/91 but did not show up in the subsequent models until mid- Nineties Why? Reasons 1 Effective on a very small class of programs 2 Memory latency a much bigger problem 3 Exceptions not precise! One more problem needed to be solved Control transfers 3/13/2008 CS152-Spring!08 20

Precise Interrupts It must appear as if an interrupt is taken between two instructions (say I i and I i+1 ) the effect of all instructions up to and including I i is totally complete no effect of any instruction after I i has taken place The interrupt handler either aborts the program or restarts it at I i+1 3/13/2008 CS152-Spring!08 21 Effect on Interrupts Out-of-order Completion I 1 DIVD f6, f6, f4 I 2 LD f2, 45(r3) I 3 MULTD f0, f2, f4 I 4 DIVD f8, f6, f2 I 5 SUBD f10, f0, f6 I 6 ADDD f6, f8, f2 out-of-order comp 1 2 2 3 1 4 3 5 5 4 6 6 restore f2 restore f10 Consider interrupts Precise interrupts are difficult to implement at high speed - want to start execution of later instructions before exception checks finished on earlier instructions 3/13/2008 CS152-Spring!08 22

Exception Handling (In-Order Five-Stage Pipeline) Commit Point PC Inst Mem D Decode E + M Data Mem W Select Handler PC PC Address Exceptions Exc D Illegal Opcode Exc E Overflow Exc M Data Addr Except Kill Writeback Cause Kill F Stage PC D Kill D Stage PC E Kill E Stage PC M Asynchronous Interrupts EPC Hold exception flags in pipeline until commit point (M stage) Exceptions in earlier pipe stages override later exceptions Inject external interrupts at commit point (override others) If exception at commit: update Cause and EPC registers, kill all stages, inject handler PC into fetch stage 3/13/2008 CS152-Spring!08 23 Phases of Instruction Execution PC I-cache Fetch Buffer Issue Buffer Func Units Result Buffer Arch State Fetch: Instruction bits retrieved from cache Decode: Instructions placed in appropriate issue (aka dispatch ) stage buffer Execute: Instructions and operands sent to execution units When execution completes, all results and exception flags are available Commit: Instruction irrevocably updates architectural state (aka graduation or completion ) 3/13/2008 CS152-Spring!08 24

In-Order Commit for Precise Exceptions In-order Out-of-order In-order Fetch Decode Reorder Buffer Commit Kill Inject handler PC Kill Execute Kill Exception? Instructions fetched and decoded into instruction reorder buffer in-order Execution is out-of-order (! out-of-order completion) Commit (write-back to architectural state, ie, regfile & memory, is in-order Temporary storage needed to hold results before commit (shadow registers and store buffers) 3/13/2008 CS152-Spring!08 25 Extensions for Precise Exceptions Inst# use exec op p1 src1 p2 src2 pd dest data cause ptr 2 next to commit ptr 1 next available Reorder buffer add <pd, dest, data, cause> fields in the instruction template commit instructions to reg file and memory in program order! buffers can be maintained circularly on exception, clear reorder buffer by resetting ptr 1 =ptr 2 (stores must wait for commit before updating memory) 3/13/2008 CS152-Spring!08 26

Rollback and Renaming Register File (now holds only committed state) Reorder buffer Ins# use exec op p1 src1 p2 src2 pd dest data t 1 t 2 t n Load Unit FU FU FU Store Unit Commit < t, result > Register file does not contain renaming tags any more How does the decode stage find the tag of a source register? Search the dest field in the reorder buffer 3/13/2008 CS152-Spring!08 27 Renaming Table Rename Table r 1 t v r 2 tag valid bit Register File Reorder buffer Ins# use exec op p1 src1 p2 src2 pd dest data t 1 t 2 t n Load Unit FU FU FU Store Unit Commit < t, result > Renaming table is a cache to speed up register name look up It needs to be cleared after each exception taken When else are valid bits cleared? Control transfers 3/13/2008 CS152-Spring!08 28

Acknowledgements These slides contain material developed and copyright by: Arvind (MIT) Krste Asanovic (MIT/UCB) Joel Emer (Intel/MIT) James Hoe (CMU) John Kubiatowicz (UCB) David Patterson (UCB) MIT material derived from course 6823 UCB material derived from course CS252 3/13/2008 CS152-Spring!08 29