Galaxy Custom Designer LE Custom Layout Editing

Similar documents
Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Laker 3 Custom Design Tools

Virtuoso Layout Suite XL

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment

Laker Custom Layout Automation System

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

High Quality, Low Cost Test

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Comprehensive Place-and-Route Platform Olympus-SoC

Expert Layout Editor. Technical Description

Concurrent, OA-based Mixed-signal Implementation

Guardian NET Layout Netlist Extractor

Allegro Design Authoring

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

SILVACO. An Intuitive Front-End to Effective and Efficient Schematic Capture Design INSIDE. Introduction. Concepts of Scholar Schematic Capture

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding

Virtuoso Layout Editor

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

Multi-Board Systems Design

Design rule illustrations for the AMI C5N process can be found at:

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

Complete Tutorial (Includes Schematic & Layout)

Putting Curves in an Orthogonal World

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Schematic Editing Essentials

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

Harmony-AMS Analog/Mixed-Signal Simulator

Exercise 1. Section 2. Working in Capture

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence IC Design Manual

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t

Schematic/Design Creation

What s New in PADS

Accelerating 20nm Double Patterning Verification with IC Validator

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

Adding Curves to an Orthogonal World

Process technology and introduction to physical

StarRC Parasitic Extraction

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

How to Get Started. Figure 3

PTC Creo Piping and Cabling Extension

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

Vivado Design Suite User Guide

Lesson 9: Processing a Schematic Design

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Computer-Based Project on VLSI Design Co 3/7

Synopsys Design Platform

Silk Test Workbench Getting Started with Visual Tests

Specifying the PCB Design Rules and Resolving Violations

SpaceClaim Professional The Natural 3D Design System. Advanced Technology

GraphWorX64 Productivity Tips

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow

Yukon Visual T&D HMI/SCADA

AMS DESIGN METHODOLOGY

ALLEGRO DESIGN ENTRY HDL 610

Solo 4.6 Release Notes

UNIVERSITY OF WATERLOO

SolidWorks Implementation Guides. User Interface

Copyright 2014 Xilinx

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

MAPLOGIC CORPORATION. GIS Software Solutions. Getting Started. With MapLogic Layout Manager

IBM Rational Application Developer for WebSphere Software, Version 7.0

PlanAhead Software Tutorial

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Vivado Design Suite User Guide. Designing IP Subsystems Using IP Integrator

ArcView QuickStart Guide. Contents. The ArcView Screen. Elements of an ArcView Project. Creating an ArcView Project. Adding Themes to Views

Trelligence Affinity for ArchiCAD

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

2D Drafting Redefined

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Guide to the CSE 577 Lab and Cad tools

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

Choosing the Right Photonic Design Software

Complete Design Environment 完整的設計環境. Addi Lin

Cadence Rapid Adoption Kits

ALLEGRO DESIGN ENTRY HDL 610

Libero SoC v11.9 SP2 Release Notes 11/2018

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

RTL and Technology Schematic Viewers Tutorial. UG685 (v13.1) March 1, 2011

MyEclipse ER-Designer Quickstart

L E S S O N 2 Background

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

Quick Start Guide. Microsoft Visio 2013 looks different from previous versions, so we created this guide to help you minimize the learning curve.

Object vs Image-based Testing Producing Automated GUI Tests to Withstand Change

Procedure for PCBoard Layout

Veritas InfoScale Enterprise for Oracle Real Application Clusters (RAC)

Transcription:

Datasheet Galaxy Custom Designer LE Custom Layout Editing Overview Galaxy Custom Designer LE is the modern-era choice for layout entry and editing, enabling users to meet the challenges of today s fast-moving nanometer designs. With little or no learning curve, layout editing tasks with Custom Designer are accomplished with fewer clicks, quicker menu access, and less pop-up menu clutter. Introduction Architected from the ground up with maximum productivity in mind, Custom Designer LE enables ultra-fast layout editing with advanced P-cell support and timesaving layout automation through capabilities like intelligent multipart paths that maintain DRC correctness. With Custom Designer you can immediately be more productive if you are familiar with old-school layout systems. Andrew Bosik, Senior Analog Mask Designer An integral component of the full custom design system, Custom Designer LE provides block- and transistor-level layout and editing capabilities in a unified platform for both cell-based and mixed-signal custom content. Figure 1: Custom Designer LE s familiar look-and-feel immediately boosts designer productivity

Key Benefits ``One unified platform for both cellbased and custom content speeds complex chip design and integration tasks ``Supports Synopsys IC Validator, Hercules DRC/LVS and StarRC flows for industry signoff physical verification ``Supports the IPL Alliance s Interoperable PDK (ipdk) libraries for industry-wide design data sharing ``Provides multiple-layer purpose-pair browsers in a single session when editing designs in multiple libraries ``Powerful, interactive layout automation tools accelerate design completion through near-drc clean layout, making post-drc fixing a trivial task Extending the Galaxy Implementation Platform As semiconductor designs demand more custom and analog/mixed-signal (AMS) content, custom design teams need new ways to address the challenge of quickly and efficiently integrating into existing digital design flows. Custom Designer leverages the powerful capabilities of Synopsys Galaxy Implementation Platform to provide a unified solution for custom and digital design teams. Digital teams now have access to a unified, comprehensive AMS block authoring flow with an optimized pipeline that eliminates tedious data exchange and leads to final designs in shorter time. A Unified Flow with Common Use Model Delivers Fastest Time to Results Custom Designer LE provides a unified flow based in a common use model, allowing seamless access to Synopsys Hercules for LVS/DRC and StarRC for parasitic extraction. Designers simply run Hercules or StarRC as part of the Custom Designer environment to Figure 2: Custom Designer s tight integration between schematic, layout and Custom WaveView speeds design analysis and debug quickly perform physical verification and extraction. The native integration between Custom Designer SE, Custom Designer LE and StarRC provides a complete round-trip parasitic resimulation flow complete with back-annotation. The comprehensive flow ensures the highest-possible accuracy in parasitics extracted from the physical design. Advanced P-cells Speed Layout Editing Custom Designer s real-time preview of P-cell parameter changes shows the results before committing to placement. Designers instantly see the results of their changes and can quickly adapt the design to significantly speed up the layout editing process. Custom Designer supports P-cells written in TCL, Python and C++, any of which can be freely mixed in the same design. P-cell callbacks in Custom Designer LE are also triggered during scripting, helping to eliminate synchronization problems in designs. This capability also supports P-cell abutment when editing or placing cells in batch mode. Scripts can be used for batch-mode processing to create or edit layouts, and abutment will be triggered correctly. Custom Designer LE also fully supports stretch handles that allow fast on-canvas manipulation of P-cells through graphical means. Users can drag a stretch handle and change the layout of a P-cell quickly, in context with the surrounding objects. Interoperable PDK Libraries Interoperable PDK Libraries from the IPL Alliance, an industry-wide collaborative effort to create and promote interoperable process design kit (ipdk) standards, can also be used with Custom Designer. IPL standards enable a single PDK to be used by any OpenAccess tool, thus reducing PDK development and support costs, lowering integration costs and, for the first time, allowing choices in tool selection when building analog/ custom IC design flows. Galaxy Custom Designer LE 2

Time-Saving Automation in Layout The Automatic Guardring Generator gives the user the ability to create conforming and rectilinear guardrings in real-time. These guardrings are also known as Multi-Part Paths (MPPs). They can be quickly generated with a userspecified separation around a selected set of layout objects. The guardrings are connectivity aware and can be further manipulated via the Stretch Reshape and Chop commands. Custom Designer s connectivity driven automatic via insertion feature places DRC-correct vias or via arrays between layers whose net names match. This feature runs in real-time to quickly and accurately complete the layout wiring. The use model works in a simple point and click mode for inserting vias one at a time, or by a window region where vias are inserted in all applicable areas. The function also works down through the layout hierarchy. An Auto Connect feature allows highaltitude wiring hookups that snap to pins, source, drain and gate connections, reducing the need to zoom in/out to ensure a correct connection that is on grid. Using this feature, designers achieve higher productivity through fewer mouse clicks. Figure 3: Bus routing with automatic via up/down and via templates A high-performance interactive bus to specify the locations to rapidly form routing feature allows users to digitize a bridge (via up) or a tunnel (via down), multiple bus bits simultaneously. The allowing routes to pass under a given bus router automates via up and down bus or net. Designers are no longer functions using a variety of via pattern required to manually chop the wires choices. (See Figure 3.) and manually insert vias. This reduces Align Assist displays interactive the potential of creating costly DRC alignment markers that help guide the violations and avoids the tedious time it user to correct alignment, allowing more takes to correct them. (See Figure 4.) work to be performed at the high altitude. Shadow mode is a unique highlight This function works with all objects on mechanism allowing the user to highlight the drawing canvas. nets in their true respective colors, while Custom Designer s Bridge and Tunnel dimming the background. The Shadow command allows the designer to use a Mode function also has a dimmer window or a digitized rectilinear shape control to set the brightness of the shadowed background. (See Figure 5) Figure 4: The Tunnel and Bridge command used to clear a routing path underneath a bus showing the bus before (left) and the bridge after Galaxy Custom Designer LE 3

SmartDRD: Innovative Design- Rule-Driven Technology Custom Designer LE employs new advanced capabilities to assist with DRC correct editing. This technology is commonly known as Design Rule Driven (DRD) editing. SmartDRD addresses in real-time DRC for both mainstream and advanced semiconductor processes with 3 high-performance features: ``DRDVisual ``DRDAssist ``DRDAutoFix DRDVisual concurrently checks hundreds of rules, including tablebased, and provides visual feedback in real time (See Figure 6). DRDAssist enables layout designers to perform DRC correct layout tasks at zoomed-out high altitude, greatly reducing the number of zooming-in and zooming-out iterations. DRDAssist will ensure DRC correctness by keeping objects separated at the minimum design rule distance, in-real time. DRDAssist push through technology provides a flexible use model by allowing the layout designer to override a design rule violation at anytime just by pushing the cursor through the violation when desired. The repelling function is temporally disabled and then re-enabled to check for the next violation as the designer continues to work. The layout designer is in complete control and the sensitivity threshold for push through is user controllable. DRDAutoFix (Figure 7) employs automatic DRC violation detection and correction that will help greatly reduce the tasks of manually repairing DRC violations which can take more than 40% of the overall layout design cycle time. This new technology provides a powerful productivity boost in custom layout. It uses minimum perturbation algorithms to repair DRC violations as one would do by hand and employs a simple point and-click use model. Figure 5: Shadow mode enabled for selected net Figure 6: Design Rule Driven layout with DRDVisual Open, Interoperable and Extensible Environment Based on Si2 s OpenAccess database and extensible through the industrystandard TcL scripting language, Custom Designer s open environment allows CAD groups to quickly add new tools to the environment. Custom Designer s open infrastructure is a shift in the EDA industry, offering unfettered access to your design data. With no proprietary languages, databases or extensions, Custom Designer offers CAD groups deep visibility into the system s design infrastructure, enabling highperformance application integration and development, including access to in-memory data and runtime objects. Custom Designer s open infrastructure also provides the ability to develop consistent user interfaces across the Custom Designer environment by providing access to standard components like menus and tool bar icons. Custom Designer s open environment also includes a Programmable Netlister that ships with open-source code, allowing quick implementation of custom netlist formats including extracted views. The netlister supports CDF parameters, including PEL/AEL expressions, CDF siminfo and netlisting. Galaxy Custom Designer LE 4

DRDAutoFix corrects violations in seconds Example with multiple rule violations DRC clean after DRDAutoFix Figure 7: Custom Designer s DRDAutoFix layout productivity feature showing spacing violations before (left) and after correction Powerful Capabilities Shared Across Custom Designer Environment Powerful new GUI technologies provide the entire Custom Designer system with a unique set of capabilities that are shared across all components. Custom Designer has extensive contextsensitive menu support throughout the tool and shares the same use model used in all user subsystems. Custom Designer s property editor allows for single or mass editing of property values across selected instances. Tabbed views simplify editing of different device types and As-Is technology clearly indicates mismatches in values. Custom Designer s Transaction History is a sophisticated undo/redo system that records all data creation and manipulation commands during an editing session for schematics and layout. Recallable at any time, this history is also unique to each different cell view, improving the designer s recall of the editing steps. All Custom Designer commands are logged in a log file (.log and.tcl) and can be replayed in the tool. This can be beneficial when creating macros for any task that needs to be repeated. Icons for recently used commands appear on the history toolbar. Reinvoking previously used commands is easy. Custom Designer also supports standard and user-definable bind-key sets, allowing you to customize the system to meet your unique design style. Custom Designer boasts a single job monitor that logs all batch and interactive jobs launched from any native Custom Designer tool or any other tool integrated into the environment. Job status is saved across different sessions. Additionally, the Job Monitor can manage jobs submitted to both Sun Microsystems Sun Grid Engine and Platform Computing s LSF loadbalancing products. Platform Support ``X86 for 32- and 64-bit ``Red Hat Enterprise Linux version 4 and 5 (AS, ES, WS) ``SUSE Linux 10 and 11 (AS, ES, WS) Synopsys, Inc. 700 East Middlefield Road Mountain View, CA 94043 www.synopsys.com 2010 Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks is available at http://www.synopsys.com/copyright.html. All other names mentioned herein are trademarks or registered trademarks of their respective owners. 09/10.CE.10-19186.