Lecture 2: Processor and Pipelining 1

Similar documents
Pipeline Overview. Dr. Jiang Li. Adapted from the slides provided by the authors. Jiang Li, Ph.D. Department of Computer Science

Lecture 3. Pipelining. Dr. Soner Onder CS 4431 Michigan Technological University 9/23/2009 1

Computer Architecture

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. 5 th. Edition. Chapter 4. The Processor

EI338: Computer Systems and Engineering (Computer Architecture & Operating Systems)

Chapter 4. The Processor

Modern Computer Architecture

COMPUTER ORGANIZATION AND DESI

Department of Computer and IT Engineering University of Kurdistan. Computer Architecture Pipelining. By: Dr. Alireza Abdollahpouri

COMPUTER ORGANIZATION AND DESIGN

LECTURE 3: THE PROCESSOR

Computer Architecture Computer Science & Engineering. Chapter 4. The Processor BK TP.HCM

Determined by ISA and compiler. We will examine two MIPS implementations. A simplified version A more realistic pipelined version

Chapter 4. The Processor

Chapter 4. The Processor

Full Datapath. Chapter 4 The Processor 2

CISC 662 Graduate Computer Architecture Lecture 6 - Hazards

Chapter 4. The Processor

EIE/ENE 334 Microprocessors

Chapter 4. The Processor

Processor (IV) - advanced ILP. Hwansoo Han

The Processor: Instruction-Level Parallelism

Thomas Polzer Institut für Technische Informatik

Advanced d Instruction Level Parallelism. Computer Systems Laboratory Sungkyunkwan University

Real Processors. Lecture for CPSC 5155 Edward Bosworth, Ph.D. Computer Science Department Columbus State University

COSC 6385 Computer Architecture - Pipelining

Computer Architecture Computer Science & Engineering. Chapter 4. The Processor BK TP.HCM

5 th Edition. The Processor We will examine two MIPS implementations A simplified version A more realistic pipelined version

4. The Processor Computer Architecture COMP SCI 2GA3 / SFWR ENG 2GA3. Emil Sekerinski, McMaster University, Fall Term 2015/16

COMPUTER ORGANIZATION AND DESIGN

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 4. The Processor

Chapter 4. Instruction Execution. Introduction. CPU Overview. Multiplexers. Chapter 4 The Processor 1. The Processor.

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 4. The Processor

Advanced Instruction-Level Parallelism

Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted from Hennessy & Patterson / 2003 Elsevier

CSE 502 Graduate Computer Architecture. Lec 3-5 Performance + Instruction Pipelining Review

Homework 5. Start date: March 24 Due date: 11:59PM on April 10, Monday night. CSCI 402: Computer Architectures

Chapter 4 The Processor 1. Chapter 4A. The Processor

Pipeline Hazards. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

COMPUTER ORGANIZATION AND DESIGN. The Hardware/Software Interface. Chapter 4. The Processor: C Multiple Issue Based on P&H

Pipelining Analogy. Pipelined laundry: overlapping execution. Parallelism improves performance. Four loads: Non-stop: Speedup = 8/3.5 = 2.3.

Lecture 05: Pipelining: Basic/ Intermediate Concepts and Implementation

Chapter 4. The Processor. Jiang Jiang

3/12/2014. Single Cycle (Review) CSE 2021: Computer Organization. Single Cycle with Jump. Multi-Cycle Implementation. Why Multi-Cycle?

The Processor: Improving the performance - Control Hazards

MIPS An ISA for Pipelining

Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted from Hennessy & Patterson / 2003 Elsevier

CSE 502 Graduate Computer Architecture. Lec 3-5 Performance + Instruction Pipelining Review

Advanced Computer Architecture

The Processor. Z. Jerry Shi Department of Computer Science and Engineering University of Connecticut. CSE3666: Introduction to Computer Architecture

Pipelining! Advanced Topics on Heterogeneous System Architectures. Politecnico di Milano! Seminar DEIB! 30 November, 2017!

Chapter 4 The Processor 1. Chapter 4B. The Processor

CSEE 3827: Fundamentals of Computer Systems

Chapter 4 The Processor (Part 4)

Chapter 4. The Processor

Chapter 4 The Processor 1. Chapter 4D. The Processor

Full Datapath. Chapter 4 The Processor 2

The Processor (3) Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

Pipelining. CSC Friday, November 6, 2015

Processor (II) - pipelining. Hwansoo Han

Computer and Information Sciences College / Computer Science Department Enhancing Performance with Pipelining

MIPS Pipelining. Computer Organization Architectures for Embedded Computing. Wednesday 8 October 14

Lecture 3: The Processor (Chapter 4 of textbook) Chapter 4.1

COSC4201 Pipelining. Prof. Mokhtar Aboelaze York University

Computer Architecture Spring 2016

Lec 25: Parallel Processors. Announcements

Chapter 4. The Processor

Chapter 4. The Processor

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition. Chapter 4. The Processor

CSE 502 Graduate Computer Architecture. Lec 4-6 Performance + Instruction Pipelining Review

Computer Organization and Structure. Bing-Yu Chen National Taiwan University

Computer Architecture and System

CS 110 Computer Architecture. Pipelining. Guest Lecture: Shu Yin. School of Information Science and Technology SIST

Computer Architecture and System

Minimizing Data hazard Stalls by Forwarding Data Hazard Classification Data Hazards Present in Current MIPS Pipeline

Advanced Parallel Architecture Lessons 5 and 6. Annalisa Massini /2017

COMPUTER ORGANIZATION AND DESIGN

Instruction Pipelining Review

Instruction Level Parallelism. Appendix C and Chapter 3, HP5e

Pipelining: Hazards Ver. Jan 14, 2014

The Processor: Datapath and Control. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 4. The Processor

CPE Computer Architecture. Appendix A: Pipelining: Basic and Intermediate Concepts

CS 61C: Great Ideas in Computer Architecture Pipelining and Hazards

Outline. A pipelined datapath Pipelined control Data hazards and forwarding Data hazards and stalls Branch (control) hazards Exception

CS 61C: Great Ideas in Computer Architecture (Machine Structures) Lecture 32: Pipeline Parallelism 3

1 Hazards COMP2611 Fall 2015 Pipelined Processor

EITF20: Computer Architecture Part2.2.1: Pipeline-1

DEE 1053 Computer Organization Lecture 6: Pipelining

Outline Marquette University

COMPUTER ORGANIZATION AND DESIGN. The Hardware/Software Interface. Chapter 4. The Processor: A Based on P&H

The Processor (1) Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

Appendix C: Pipelining: Basic and Intermediate Concepts

CSE 533: Advanced Computer Architectures. Pipelining. Instructor: Gürhan Küçük. Yeditepe University

CS 61C: Great Ideas in Computer Architecture. Multiple Instruction Issue, Virtual Memory Introduction

Overview. Appendix A. Pipelining: Its Natural! Sequential Laundry 6 PM Midnight. Pipelined Laundry: Start work ASAP

Processor (I) - datapath & control. Hwansoo Han

Pipeline Review. Review

Basic Pipelining Concepts

EITF20: Computer Architecture Part2.2.1: Pipeline-1

Transcription:

The Simple BIG Picture! Chapter 3 Additional Slides The Processor and Pipelining CENG 6332 2 Datapath vs Control Datapath signals Control Points Controller Datapath: Storage, FU, interconnect sufficient to perform the desired functions Inputs are Control Points Outputs are signals Controller: State machine to orchestrate operation on the data path Based on desired function and signals 3 Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle time Determined by CPU hardware We will examine two MIPS implementations A simplified version A more realistic pipelined version Simple subset, shows most aspects Memory reference: lw, sw Arithmetic/logical: add, sub, and, or, slt Control transfer: beq, j 4 Introduction Lecture 2: Processor and Pipelining 1

A "Typical" RISC ISA 32-bit fixed format instruction (3 formats) 32 32-bit GPR (R0 contains zero, DP take pair) 3-address, reg-reg arithmetic instruction Single address mode for load/store: base + displacement no indirection Simple branch conditions Delayed branch see: SPARC, MIPS, HP PA-Risc, DEC Alpha, IBM PowerPC, CDC 6600, CDC 7600, Cray-1, Cray-2, Cray-3 Example: MIPS ( MIPS) ister-ister 31 26 25 21 20 16 15 11 10 6 5 0 Op Rs1 Rs2 ister-immediate 31 26 25 21 20 16 15 0 Op Rs1 Rd immediate Branch 31 26 25 0 Op target Rd Opx 31 26 25 21 20 16 15 0 Op Rs1 Rs2/Opx immediate Jump / Call 5 6 Instruction Execution PC instruction memory, fetch instruction ister numbers register file, read registers Depending on instruction class Use to calculate Arithmetic result Memory address for load/store Branch target address Access data memory for load/store PC target address or PC + 4 CPU Overview 7 8 Lecture 2: Processor and Pipelining 2

Control Building a Datapath Datapath Elements that process data and addresses in the CPU isters, s, mux s, memories, We will build a MIPS datapath incrementally Refining the overview design Building a Datapath 10 11 Instruction Fetch R-Format Instructions Read two register operands Perform arithmetic/logical operation Write register result 32-bit register Increment by 4 for next instruction 12 13 Lecture 2: Processor and Pipelining 3

Load/Store Instructions Read register operands Calculate address using 16-bit offset Use, but sign-extend offset Load: Read memory and update register Store: Write register value to memory Branch Instructions Read register operands Compare operands Use, subtract and check Zero output Calculate target address Sign-extend displacement Shift left 2 places (word displacement) Add to PC + 4 Already calculated by instruction fetch 14 15 Branch Instructions Just re-routes wires Composing the Elements First-cut data path does an instruction in one clock cycle Each datapath element can only do one function at a time Hence, we need separate instruction and data memories Use multiplexers where alternate data sources are used for different instructions Sign-bit wire replicated 16 17 Lecture 2: Processor and Pipelining 4

R-Type/Load/Store Datapath Full Datapath 18 19 Control used for Load/Store: F = add Branch: F = subtract R-type: F depends on funct field control Function 0000 AND 0001 OR 0010 add 0110 subtract 0111 set-on-less-than 1100 NOR A Simple Implementation Scheme Control Assume 2-bit Op derived from opcode Combinational logic derives control opcode Op Operation funct function control lw 00 load word XXXXXX add 0010 sw 00 store word XXXXXX add 0010 beq 01 branch equal XXXXXX subtract 0110 R-type 10 add 100000 add 0010 subtract 100010 subtract 0110 AND 100100 AND 0000 OR 100101 OR 0001 set-on-less-than 101010 set-on-less-than 0111 20 21 Lecture 2: Processor and Pipelining 5

The Main Control Unit Control signals derived from instruction Datapath With Control R-type 0 rs rt rd shamt funct 31:26 25:21 20:16 15:11 10:6 5:0 Load/ Store Branch 35 or 43 rs rt address 31:26 25:21 20:16 15:0 4 rs rt address 31:26 25:21 20:16 15:0 opcode always read read, except for load write for R-type and load sign-extend and add 22 23 R-Type Instruction Load Instruction 24 25 Lecture 2: Processor and Pipelining 6

Branch-on-Equal Instruction Implementing Jumps Jump 2 address Jump uses word address Update PC with concatenation of Top 4 bits of old PC 26-bit jump address 00 31:26 25:0 Need an extra control signal decoded from opcode 26 27 Datapath With Jumps Added Performance Issues Longest delay determines clock period Critical path: load instruction Instruction memory register file data memory register file Not feasible to vary period for different instructions Violates design principle Making the common case fast We will improve performance by pipelining 28 29 Lecture 2: Processor and Pipelining 7

Pipelining Analogy Pipelined laundry: overlapping execution Parallelism improves performance Four loads: Speedup = 8/3.5 = 2.3 Non-stop: Speedup = 2n/0.5n + 1.5 4 = number of stages An Overview of Pipelining MIPS Pipeline Five stages, one step per stage 1. IF: Instruction fetch from memory 2. ID: Instruction decode & register read 3. EX: Execute operation or calculate address 4. MEM: Access memory operand 5. WB: Write result back to register 30 31 Pipeline Performance Assume time for stages is 100ps for register read or write 200ps for other stages Compare pipelined datapath with single-cycle datapath Instr Instr fetch ister read op Memory access ister write Total time lw 200ps 100 ps 200ps 200ps 100 ps 800ps Pipeline Performance Single-cycle (T c = 800ps) Pipelined (T c = 200ps) sw 200ps 100 ps 200ps 200ps 700ps R-format 200ps 100 ps 200ps 100 ps 600ps beq 200ps 100 ps 200ps 500ps 32 33 Lecture 2: Processor and Pipelining 8

Pipeline Speedup If all stages are balanced i.e., all take the same time Time between instructions pipelined Time Between Instructions Number of Stages If not balanced, speedup is less nonpipelined Speedup due to increased throughput Latency (time for each instruction) does not decrease Hazards Situations that prevent starting the next instruction in the next cycle Structure hazards A required resource is busy Data hazard Need to wait for previous instruction to complete its data read/write Control hazard Deciding on control action depends on previous instruction 34 36 Structure Hazards Conflict for use of a resource In MIPS pipeline with a single memory Load/store requires data access Instruction fetch would have to stall for that cycle Would cause a pipeline bubble Hence, pipelined datapaths require separate instruction/data memories Or separate instruction/data caches Data Hazards An instruction depends on completion of data access by a previous instruction add $s0, $t0, $t1 sub $t2, $s0, $t3 37 38 Lecture 2: Processor and Pipelining 9

Forwarding (aka Bypassing) Use result when it is computed Don t wait for it to be stored in a register Requires extra connections in the datapath Load-Use Data Hazard Can t always avoid stalls by forwarding If value not computed when needed Can t forward backward in time! 39 40 Code Scheduling to Avoid Stalls Reorder code to avoid use of load result in the next instruction C code for A = B + E; C = B + F; stall stall lw $t1, 0($t0) lw $t2, 4($t0) add $t3, $t1, $t2 sw $t3, 12($t0) lw $t4, 8($t0) add $t5, $t1, $t4 sw $t5, 16($t0) lw $t1, 0($t0) lw $t2, 4($t0) lw $t4, 8($t0) add $t3, $t1, $t2 sw $t3, 12($t0) add $t5, $t1, $t4 sw $t5, 16($t0) Control Hazards Branch determines flow of control Fetching next instruction depends on branch outcome Pipeline can t always fetch correct instruction Still working on ID stage of branch In MIPS pipeline Need to compare registers and compute target early in the pipeline Add hardware to do it in ID stage 13 cycles 11 cycles 41 42 Lecture 2: Processor and Pipelining 10

Stall on Branch Wait until branch outcome determined before fetching next instruction Branch Prediction Longer pipelines can t readily determine branch outcome early Stall penalty becomes unacceptable Predict outcome of branch Only stall if prediction is wrong In MIPS pipeline Can predict branches not taken Fetch instruction after branch, with no delay 43 44 MIPS with Predict Not Taken Prediction correct Prediction incorrect More-Realistic Branch Prediction Static branch prediction Based on typical branch behavior Example: loop and if-statement branches Predict backward branches taken Predict forward branches not taken Dynamic branch prediction Hardware measures actual branch behavior e.g., record recent history of each branch Assume future behavior will continue the trend When wrong, stall while re-fetching, and update history 45 46 Lecture 2: Processor and Pipelining 11

Pipeline Summary The BIG Picture Pipelining improves performance by increasing instruction throughput Executes multiple instructions in parallel Each instruction has the same latency Subject to hazards Structure, data, control Instruction set design affects complexity of pipeline implementation MIPS Pipelined Datapath MEM Right-to-left flow leads to hazards WB Pipelined Datapath and Control 47 48 Pipeline registers Need registers between stages To hold information produced in previous cycle Pipeline Operation Cycle-by-cycle flow of instructions through the pipelined datapath Single-clock-cycle pipeline diagram Shows pipeline usage in a single cycle Highlight resources used c.f. multi-clock-cycle diagram Graph of operation over time We ll look at single-clock-cycle diagrams for load & store 49 50 Lecture 2: Processor and Pipelining 12

IF for Load, Store, ID for Load, Store, 51 52 EX for Load MEM for Load 53 54 Lecture 2: Processor and Pipelining 13

WB for Load Corrected Datapath for Load Wrong register number 55 56 EX for Store MEM for Store 57 58 Lecture 2: Processor and Pipelining 14

WB for Store Multi-Cycle Pipeline Diagram Form showing resource usage 59 60 Multi-Cycle Pipeline Diagram Traditional form Single-Cycle Pipeline Diagram State of pipeline in a given cycle 61 62 Lecture 2: Processor and Pipelining 15

Pipelined Control (Simplified) Pipelined Control Control signals derived from instruction As in single-cycle implementation 63 64 Pipelined Control Data Hazards in Instructions Consider this sequence: sub $2, $1,$3 and $12,$2,$5 or $13,$6,$2 add $14,$2,$2 sw $15,100($2) We can resolve hazards with forwarding How do we detect when to forward? Data Hazards: Forwarding vs. Stalling 65 66 Lecture 2: Processor and Pipelining 16

Dependencies & Forwarding Forwarding Paths 67 68 Double Data Hazard Datapath with Forwarding Consider the sequence: add $1,$1,$2 add $1,$1,$3 add $1,$1,$4 Both hazards occur Want to use the most recent Revise MEM hazard condition Only fwd if EX hazard condition isn t true 69 70 Lecture 2: Processor and Pipelining 17

Load-Use Data Hazard How to Stall the Pipeline Need to stall for one cycle Force control values in ID/EX register to 0 EX, MEM and WB do nop (no-operation) Prevent update of PC and IF/ID register Using instruction is decoded again Following instruction is fetched again 1-cycle stall allows MEM to read data for lw Can subsequently forward to EX stage 71 72 Stall/Bubble in the Pipeline Data Hazard on R1 Figure A.6, Page A-17 Time (clock cycles) IF ID/RF EX MEM WB Stall inserted here I n s t r. add r1,r2,r3 sub r4,r1,r3 O r d e r and r6,r1,r7 or r8,r1,r9 xor r10,r1,r11 73 75 Lecture 2: Processor and Pipelining 18

Three Generic Data Hazards 1. Read After Write (RAW) Instr J tries to read operand before Instr I writes it Caused by a Dependence (in compiler nomenclature). This hazard results from an actual need for communication. 76 I: add r1,r2,r3 J: sub r4,r1,r3 Three Generic Data Hazards 2. Write After Read (WAR) Instr J writes operand before Instr I reads it Called an anti-dependence by compiler writers. This results from reuse of the name r1. Can t happen in MIPS 5 stage pipeline because: All instructions take 5 stages, and Reads are always in stage 2, and Writes are always in stage 5 77 I: sub r4,r1,r3 J: add r1,r2,r3 K: mul r6,r1,r7 Three Generic Data Hazards 3. Write After Write (WAW) Instr J writes operand before Instr I writes it. Datapath with Hazard Detection I: sub r1,r4,r3 J: add r1,r2,r3 K: mul r6,r1,r7 Called an output dependence by compiler writers This also results from the reuse of name r1. Can t happen in MIPS 5 stage pipeline because: All instructions take 5 stages, and Writes are always in stage 5 Will see WAR and WAW in more complicated pipes 78 79 Lecture 2: Processor and Pipelining 19

Stalls and Performance The BIG Picture Stalls reduce performance But are required to get correct results Compiler can arrange code to avoid hazards and stalls Requires knowledge of the pipeline structure Branch Hazards If branch outcome determined in MEM Control Hazards Flush these instructions (Set control values to 0) PC 80 81 Reducing Branch Delay Move hardware to determine outcome to ID stage Target address adder ister comparator So, branch address is resolved in ID stage Example: branch taken 36: sub $10, $4, $8 40: beq $1, $3, 7 44: and $12, $2, $5 48: or $13, $2, $6 52: add $14, $4, $2 56: slt $15, $6, $7... 72: lw $4, 50($7) Data Hazards for Branches If a comparison register is a destination of 2 nd or 3 rd preceding instruction add $1, $2, $3 add $4, $5, $6 beq $1, $4, target IF ID EX MEM WB IF ID EX MEM WB IF ID EX MEM WB Can resolve using forwarding IF ID EX MEM WB 82 85 Lecture 2: Processor and Pipelining 20

Data Hazards for Branches If a comparison register is a destination of preceding instruction or 2 nd preceding load instruction Need 1 stall cycle Data Hazards for Branches If a comparison register is a destination of immediately preceding load instruction Need 2 stall cycles lw $1, addr IF ID EX MEM WB lw $1, addr IF ID EX MEM WB add $4, $5, $6 IF ID EX MEM WB beq stalled IF ID beq stalled IF ID beq stalled ID beq $1, $4, target ID EX MEM WB beq $1, $0, target ID EX MEM WB 86 87 Four Branch Hazard Alternatives 1. Stall until branch direction is clear 2. Predict Branch Not Taken Execute successor instructions in sequence Squash instructions in pipeline if branch actually taken Advantage of late pipeline state update 47% MIPS branches not taken on average PC+4 already calculated, so use it to get next instruction 3. Predict Branch Taken 53% MIPS branches taken on average But haven t calculated branch target address in MIPS 88 MIPS still incurs 1 cycle branch penalty Other machines: branch target known before outcome Four Branch Hazard Alternatives 4. Delayed Branch Define branch to take place AFTER a following instruction branch instruction sequential successor 1 sequential successor 2... sequential successor n branch target if taken 1 slot delay allows proper decision and branch target address in 5 stage pipeline MIPS uses this 89 Branch delay of length n Lecture 2: Processor and Pipelining 21

Scheduling Branch Delay Slots (Fig A.14) A. From before branch B. From branch target C. From fall through A is the best choice, fills delay slot & reduces instruction count (IC) In B, the sub instruction may need to be copied, increasing IC In B and C, must be okay to execute sub when branch fails 90 add $1,$2,$3 if $2=0 then delay slot sub $4,$5,$6 add $1,$2,$3 if $1=0 then delay slot add $1,$2,$3 if $1=0 then delay slot sub $4,$5,$6 becomes becomes becomes if $2=0 then add $1,$2,$3 add $1,$2,$3 if $1=0 then sub $4,$5,$6 add $1,$2,$3 if $1=0 then sub $4,$5,$6 Delayed Branch Compiler effectiveness for single branch delay slot: Fills about 60% of branch delay slots About 80% of instructions executed in branch delay slots useful in computation About 50% (60% x 80%) of slots usefully filled Delayed Branch downside: As processor go to deeper pipelines and multiple issue, the branch delay grows and need more than one delay slot Delayed branching has lost popularity compared to more expensive but more flexible dynamic approaches Growth in available transistors has made dynamic approaches relatively cheaper 91 Evaluating Branch Alternatives Pipeline speedup = Pipeline depth 1 +Branch frequency Branch penalty Assume 4% unconditional branch, 6% conditional branch- untaken, 10% conditional branch-taken Scheduling Branch CPI speedup v. speedup v. scheme penalty unpipelined stall Stall pipeline 3 1.60 3.1 1.0 Predict taken 1 1.20 4.2 1.33 Predict not taken 1 1.14 4.4 1.40 Delayed branch 0.5 1.10 4.5 1.45 Dynamic Branch Prediction In deeper and superscalar pipelines, branch penalty is more significant Use dynamic prediction Branch prediction buffer (aka branch history table) Indexed by recent branch instruction addresses Stores outcome (taken/not taken) To execute a branch Check table, expect the same outcome Start fetching from fall-through or target If wrong, flush pipeline and flip prediction 92 93 Lecture 2: Processor and Pipelining 22

1-Bit Predictor: Shortcoming Inner loop branches mispredicted twice! 2-Bit Predictor Only change prediction on two successive mispredictions outer: inner: beq,, inner beq,, outer Mispredict as taken on last iteration of inner loop Then mispredict as not taken on first iteration of inner loop next time around 94 95 Calculating the Branch Target Even with predictor, still need to calculate the target address 1-cycle penalty for a taken branch Branch target buffer Cache of target addresses Indexed by PC when instruction fetched If hit and instruction is branch predicted taken, can fetch target immediately Exceptions and Interrupts Unexpected events requiring change in flow of control Different ISAs use the terms differently Exception Arises within the CPU e.g., undefined opcode, overflow, syscall, Interrupt From an external I/O controller Dealing with them without sacrificing performance is hard Exceptions 96 97 Lecture 2: Processor and Pipelining 23

Instruction-Level Parallelism (ILP) Pipelining: executing multiple instructions in parallel To increase ILP Deeper pipeline Less work per stage shorter clock cycle Multiple issue Replicate pipeline stages multiple pipelines Start multiple instructions per clock cycle CPI < 1, so use Instructions Per Cycle (IPC) E.g., 4GHz 4-way multiple-issue 16 BIPS, peak CPI = 0.25, peak IPC = 4 But dependencies reduce this in practice Parallelism and Advanced Instruction Level Parallelism Multiple Issue Static multiple issue Compiler groups instructions to be issued together Packages them into issue slots Compiler detects and avoids hazards Dynamic multiple issue CPU examines instruction stream and chooses instructions to issue each cycle Compiler can help by reordering instructions CPU resolves hazards using advanced techniques at runtime 109 110 Speculation Guess what to do with an instruction Start operation as soon as possible Check whether guess was right If so, complete the operation If not, roll-back and do the right thing Common to static and dynamic multiple issue Examples Speculate on branch outcome Roll back if path taken is different Speculate on load Roll back if location is updated Compiler/Hardware Speculation Compiler can reorder instructions e.g., move load before branch Can include fix-up instructions to recover from incorrect guess Hardware can look ahead for instructions to execute Buffer results until it determines they are actually needed Flush buffers on incorrect speculation 111 112 Lecture 2: Processor and Pipelining 24

Static Multiple Issue Compiler groups instructions into issue packets Group of instructions that can be issued on a single cycle Determined by pipeline resources required Think of an issue packet as a very long instruction Specifies multiple concurrent operations Very Long Instruction Word (VLIW) Scheduling Static Multiple Issue Compiler must remove some/all hazards Reorder instructions into issue packets No dependencies with a packet Possibly some dependencies between packets Varies between ISAs; compiler must know! Pad with nop if necessary 114 115 MIPS with Static Dual Issue Two-issue packets One /branch instruction One load/store instruction 64-bit aligned /branch, then load/store Pad an unused instruction with nop MIPS with Static Dual Issue Address Instruction type Pipeline Stages n /branch IF ID EX MEM WB n + 4 Load/store IF ID EX MEM WB n + 8 /branch IF ID EX MEM WB n + 12 Load/store IF ID EX MEM WB n + 16 /branch IF ID EX MEM WB n + 20 Load/store IF ID EX MEM WB 116 117 Lecture 2: Processor and Pipelining 25

Hazards in the Dual-Issue MIPS More instructions executing in parallel EX data hazard Forwarding avoided stalls with single-issue Now can t use result in load/store in same packet add $t0, $s0, $s1 load $s2, 0($t0) Split into two packets, effectively a stall Load-use hazard Still one cycle use latency, but now two instructions More aggressive scheduling required Scheduling Example Schedule this for dual-issue MIPS Loop: lw $t0, 0($s1) # $t0=array element addu $t0, $t0, $s2 # add scalar in $s2 sw $t0, 0($s1) # store result addi $s1, $s1, 4 # decrement pointer bne $s1, $zero, Loop # branch $s1!=0 /branch Load/store cycle Loop: nop lw $t0, 0($s1) 1 addi $s1, $s1, 4 nop 2 addu $t0, $t0, $s2 nop 3 bne $s1, $zero, Loop sw $t0, 4($s1) 4 IPC = 5/4 = 1.25 (c.f. peak IPC = 2) 118 119 Loop Unrolling Replicate loop body to expose more parallelism Reduces loop-control overhead Use different registers per replication Called register renaming Avoid loop-carried anti-dependencies Store followed by a load of the same register Aka name dependence Reuse of a register name Loop Unrolling Example /branch Load/store cycle Loop: addi $s1, $s1, 16 lw $t0, 0($s1) 1 nop lw $t1, 12($s1) 2 addu $t0, $t0, $s2 lw $t2, 8($s1) 3 addu $t1, $t1, $s2 lw $t3, 4($s1) 4 addu $t2, $t2, $s2 sw $t0, 16($s1) 5 addu $t3, $t4, $s2 sw $t1, 12($s1) 6 nop sw $t2, 8($s1) 7 bne $s1, $zero, Loop sw $t3, 4($s1) 8 IPC = 14/8 = 1.75 Closer to 2, but at cost of registers and code size 120 121 Lecture 2: Processor and Pipelining 26

Dynamic Multiple Issue Superscalar processors CPU decides whether to issue 0, 1, 2, each cycle Avoiding structural and data hazards Avoids the need for compiler scheduling Though it may still help Code semantics ensured by the CPU Dynamic Pipeline Scheduling Allow the CPU to execute instructions out of order to avoid stalls But commit result to registers in order Example lw $t0, 20($s2) addu $t1, $t0, $t2 sub $s4, $s4, $t3 slti $t5, $s4, 20 Can start sub while addu is waiting for lw 122 123 Dynamically Scheduled CPU Preserves dependencies Hold pending operands Results also sent to any waiting reservation stations ister Renaming Reservation stations and reorder buffer effectively provide register renaming On instruction issue to reservation station If operand is available in register file or reorder buffer Copied to reservation station No longer required in the register; can be overwritten If operand is not yet available It will be provided to the reservation station by a function unit ister update may not be required Reorders buffer for register writes 124 Can supply operands for issued instructions 125 Lecture 2: Processor and Pipelining 27

Speculation Predict branch and continue issuing Don t commit until branch outcome determined Load speculation Avoid load and cache miss delay Predict the effective address Predict loaded value Load before completing outstanding stores Bypass stored values to load unit Don t commit load until speculation cleared Why Do Dynamic Scheduling? Why not just let the compiler schedule code? Not all stalls are predicable e.g., cache misses Can t always schedule around branches Branch outcome is dynamically determined Different implementations of an ISA have different latencies and hazards 126 127 Does Multiple Issue Work? The BIG Picture Yes, but not as much as we d like Programs have real dependencies that limit ILP Some dependencies are hard to eliminate e.g., pointer aliasing Some parallelism is hard to expose Limited window size during instruction issue Memory delays and limited bandwidth Hard to keep pipelines full Speculation can help if done well 128 Fallacies Pipelining is easy (!) The basic idea is easy The devil is in the details e.g., detecting data hazards Pipelining is independent of technology So why haven t we always done pipelining? More transistors make more advanced techniques feasible Pipeline-related ISA design needs to take account of technology trends e.g., predicated instructions 132 Fallacies and Pitfalls Lecture 2: Processor and Pipelining 28

Pitfalls Poor ISA design can make pipelining harder e.g., complex instruction sets (VAX, IA-32) Significant overhead to make pipelining work IA-32 micro-op approach e.g., complex addressing modes ister update side effects, memory indirection e.g., delayed branches Advanced pipelines have long delay slots Concluding Remarks ISA influences design of datapath and control Datapath and control influence design of ISA Pipelining improves instruction throughput using parallelism More instructions completed per second Latency for each instruction not reduced Hazards: structural, data, control Multiple issue and dynamic scheduling (ILP) Dependencies limit achievable parallelism Complexity leads to the power wall Concluding Remarks 133 134 Summary of Pipelining MIPS An ISA for Pipelining 5 stage pipelining Structural and Data Hazards Forwarding Branch Schemes Exceptions and Interrupts Conclusion A "Typical" RISC ISA 32-bit fixed format instruction (3 formats) 32 32-bit GPR (R0 contains zero, DP take pair) 3-address, reg-reg arithmetic instruction Single address mode for load/store: base + displacement no indirection Simple branch conditions Delayed branch see: SPARC, MIPS, HP PA-Risc, DEC Alpha, IBM PowerPC, CDC 6600, CDC 7600, Cray-1, Cray-2, Cray-3 135 136 Lecture 2: Processor and Pipelining 29

Example: MIPS ( MIPS) ister-ister 31 26 25 21 20 16 15 11 10 6 5 0 Op Rs1 Rs2 Rd Opx ister-immediate 31 26 25 21 20 16 15 0 Op Rs1 Rd immediate Branch 31 26 25 21 20 16 15 0 Op Rs1 Rs2/Opx immediate Datapath vs Control Datapath signals Control Points Controller 137 Jump / Call 31 26 25 0 Op target Datapath: Storage, FU, interconnect sufficient to perform the desired functions Inputs are Control Points Outputs are signals Controller: State machine to orchestrate operation on the data path Based on desired function and signals 138 5 Steps of MIPS Datapath Figure A.3, Page A-9 Instruction Fetch Next PC Address 4 Memory IR <= mem[pc]; PC <= PC + 4 Adder A <= [IR rs ]; B <= [IR rt ] rslt <= A op IRop B WB <= rslt 140 [IR rd ] <= WB IF/ID Instr. Decode. Fetch Next SEQ PC RS1 RS2 Imm File Sign Extend ID/EX Execute Addr. Calc Next SEQ PC MUX MUX Zero? EX/MEM RD RD RD Memory Access MUX Data Memory MEM/WB Write Back MUX WB Data br Inst. Set Processor Controller JSR 141 JR if bop(a,b) PC <= PC+IR im jmp PC <= IR jaddr IR <= mem[pc]; PC <= PC + 4 A <= [IR rs ]; B <= [IR rt ] RR r <= A op IRop B WB <= r [IR rd ] <= WB opfetch-dcd RI r <= A op IRop IR im WB <= r [IR rd ] <= WB ST LD r <= A + IR im WB <= Mem[r] [IR rd ] <= WB Lecture 2: Processor and Pipelining 30

Visualizing Pipelining Figure A.2, Page A-8 Pipelining is not quite that easy! Time (clock cycles) I n s t r. O r d e r Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 Limits to pipelining: Hazards prevent next instruction from executing during its designated clock cycle Structural hazards: HW cannot support this combination of instructions (single person to fold and put clothes away) Data hazards: Instruction depends on result of prior instruction still in the pipeline (missing sock) Control hazards: Caused by delay between the fetching of instructions and decisions about changes in control flow (branches and jumps). 142 143 One Memory Port/Structural Hazards Figure A.4, Page A-14 Time (clock cycles) One Memory Port/Structural Hazards (Similar to Figure A.5, Page A-15) Time (clock cycles) Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 I n s t r. O r d e r Load Instr 1 Instr 2 Instr 3 Instr 4 Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7 I n s t r. O r d e r Load Instr 1 Instr 2 Stall Instr 3 Bubble Bubble Bubble Bubble Bubble 144 145 How do you bubble the pipe? Lecture 2: Processor and Pipelining 31

Speed Up Equation for Pipelining CPI pipelined Ideal CPI Pipeline depth Cycle Time Speedup Ideal CPI Pipeline stall CPI Cycle Time Pipeline depth Cycle Time Speedup 1 Pipeline stall CPI Cycle Time 146 Ideal CPI Average Stall cycles per Inst For simple RISC pipeline, CPI = 1: unpipelined pipelined unpipelined pipelined Example: Dual-port vs. Single-port Machine A: Dual ported memory ( Harvard Architecture ) Machine B: Single ported memory, but its pipelined implementation has a 1.05 times faster clock rate Ideal CPI = 1 for both Loads are 40% of instructions executed 147 SpeedUp A = Pipeline Depth/(1 + 0) x (clock unpipe /clock pipe ) = Pipeline Depth SpeedUp B = Pipeline Depth/(1 + 0.4 x 1) x (clock unpipe /(clock unpipe / 1.05) = (Pipeline Depth/1.4) x 1.05 = 0.75 x Pipeline Depth SpeedUp A / SpeedUp B = Pipeline Depth/(0.75 x Pipeline Depth) = 1.33 Machine A is 1.33 times faster Data Hazard on R1 Figure A.6, Page A-17 Time (clock cycles) Three Generic Data Hazards I n s t r. add r1,r2,r3 sub r4,r1,r3 IF ID/RF EX MEM WB 1. Read After Write (RAW) Instr J tries to read operand before Instr I writes it I: add r1,r2,r3 J: sub r4,r1,r3 O r d e r and r6,r1,r7 or r8,r1,r9 xor r10,r1,r11 Caused by a Dependence (in compiler nomenclature). This hazard results from an actual need for communication. 148 149 Lecture 2: Processor and Pipelining 32

Three Generic Data Hazards 2. Write After Read (WAR) Instr J writes operand before Instr I reads it Called an anti-dependence by compiler writers. This results from reuse of the name r1. Can t happen in MIPS 5 stage pipeline because: All instructions take 5 stages, and Reads are always in stage 2, and Writes are always in stage 5 150 I: sub r4,r1,r3 J: add r1,r2,r3 K: mul r6,r1,r7 Three Generic Data Hazards 3. Write After Write (WAW) Instr J writes operand before Instr I writes it. I: sub r1,r4,r3 J: add r1,r2,r3 K: mul r6,r1,r7 Called an output dependence by compiler writers This also results from the reuse of name r1. Can t happen in MIPS 5 stage pipeline because: All instructions take 5 stages, and Writes are always in stage 5 Will see WAR and WAW in more complicated pipes 151 Forwarding to Avoid Data Hazard Figure A.7, Page A-19 HW Change for Forwarding Figure A.23, Page A-37 Time (clock cycles) I n s t r. O r d e r add r1,r2,r3 sub r4,r1,r3 and r6,r1,r7 or r8,r1,r9 NextPC isters Immediate ID/EX mux mux EX/MEM Data Memory MEM/WR mux xor r10,r1,r11 152 153 What circuit detects and resolves this hazard? Lecture 2: Processor and Pipelining 33

Forwarding to Avoid LW-SW Data Hazard Figure A.8, Page A-20 Data Hazard Even with Forwarding Figure A.9, Page A-21 Time (clock cycles) I n s t r. O r d e r add r1,r2,r3 lw r4, 0(r1) sw r4,12(r1) or r8,r6,r9 xor r10,r9,r11 I n s t r. O r d e r Time (clock cycles) lw r1, 0(r2) sub r4,r1,r6 and r6,r1,r7 or r8,r1,r9 154 155 Data Hazard Even with Forwarding (Similar to Figure A.10, Page A-21) Software Scheduling to Avoid Load Hazards I n s t r. O r d e r Time (clock cycles) lw r1, 0(r2) sub r4,r1,r6 and r6,r1,r7 or r8,r1,r9 Bubble Bubble Bubble Try producing fast code for a = b + c; d = e f; assuming a, b, c, d,e, and f in memory. Slow code: LW LW ADD SW LW LW SUB SW Rb,b Rc,c Ra,Rb,Rc a,ra Re,e Rf,f Rd,Re,Rf d,rd Fast code: LW LW LW ADD LW SW SUB SW Rb,b Rc,c Re,e Ra,Rb,Rc Rf,f a,ra Rd,Re,Rf d,rd 156 How is this detected? 157 Compiler optimizes for performance. Hardware checks for safety. Lecture 2: Processor and Pipelining 34

Outline MIPS An ISA for Pipelining 5 stage pipelining Structural and Data Hazards Forwarding Branch Schemes Exceptions and Interrupts Conclusion Control Hazard on Branches Three Stage Stall 10: beq r1,r3,36 14: and r2,r3,r5 18: or r6,r1,r7 22: add r8,r1,r9 36: xor r10,r1,r11 What do you do with the 3 instructions in between? How do you do it? Where is the commit? 158 159 Branch Stall Impact If CPI = 1, 30% branch, Stall 3 cycles => new CPI = 1.9! Two part solution: Determine branch taken or not sooner, AND Compute taken branch address earlier MIPS branch tests if register = 0 or 0 MIPS Solution: Move Zero test to ID/RF stage Adder to calculate new PC in ID/RF stage 1 clock cycle penalty for branch versus 3 Pipelined MIPS Datapath Figure A.24, page A-38 Instruction Instr. Decode Fetch. Fetch Next PC Address 4 Adder Memory IF/ID Next SEQ PC Adder RS1 RS2 Imm MUX Zero? File Sign Extend ID/EX Execute Addr. Calc MUX EX/MEM RD RD RD Memory Access Data Memory MEM/WB Write Back MUX WB Data Interplay of instruction set design and cycle time. 160 161 Lecture 2: Processor and Pipelining 35

Four Branch Hazard Alternatives 1. Stall until branch direction is clear 2. Predict Branch Not Taken Execute successor instructions in sequence Squash instructions in pipeline if branch actually taken Advantage of late pipeline state update 47% MIPS branches not taken on average PC+4 already calculated, so use it to get next instruction 3. Predict Branch Taken 53% MIPS branches taken on average But haven t calculated branch target address in MIPS 162 MIPS still incurs 1 cycle branch penalty Other machines: branch target known before outcome Four Branch Hazard Alternatives 4. Delayed Branch Define branch to take place AFTER a following instruction branch instruction sequential successor 1 sequential successor 2... sequential successor n branch target if taken 1 slot delay allows proper decision and branch target address in 5 stage pipeline MIPS uses this 163 Branch delay of length n Scheduling Branch Delay Slots (Fig A.14) A. From before branch B. From branch target C. From fall through A is the best choice, fills delay slot & reduces instruction count (IC) In B, the sub instruction may need to be copied, increasing IC In B and C, must be okay to execute sub when branch fails 164 add $1,$2,$3 if $2=0 then delay slot sub $4,$5,$6 add $1,$2,$3 if $1=0 then delay slot add $1,$2,$3 if $1=0 then delay slot sub $4,$5,$6 becomes becomes becomes if $2=0 then add $1,$2,$3 add $1,$2,$3 if $1=0 then sub $4,$5,$6 add $1,$2,$3 if $1=0 then sub $4,$5,$6 Delayed Branch Compiler effectiveness for single branch delay slot: Fills about 60% of branch delay slots About 80% of instructions executed in branch delay slots useful in computation About 50% (60% x 80%) of slots usefully filled Delayed Branch downside: As processor go to deeper pipelines and multiple issue, the branch delay grows and need more than one delay slot Delayed branching has lost popularity compared to more expensive but more flexible dynamic approaches Growth in available transistors has made dynamic approaches relatively cheaper 165 Lecture 2: Processor and Pipelining 36

Evaluating Branch Alternatives Pipeline speedup = Pipeline depth 1 +Branch frequency Branch penalty Assume 4% unconditional branch, 6% conditional branch- untaken, 10% conditional branch-taken Scheduling Branch CPI speedup v. speedup v. scheme penalty unpipelined stall Stall pipeline 3 1.60 3.1 1.0 Predict taken 1 1.20 4.2 1.33 Predict not taken 1 1.14 4.4 1.40 Delayed branch 0.5 1.10 4.5 1.45 Problems with Pipelining Exception: An unusual event happens to an instruction during its execution Examples: divide by zero, undefined opcode Interrupt: Hardware signal to switch the processor to a new instruction stream Example: a sound card interrupts when it needs more audio output samples (an audio click happens if it is left waiting) Problem: It must appear that the exception or interrupt must appear between 2 instructions (I i and I i+1 ) The effect of all instructions up to and including I i is totalling complete No effect of any instruction after I i can take place The interrupt (exception) handler either aborts program or restarts at instruction I i+1 166 167 In Conclusion: Control and Pipelining Just overlap tasks; easy if tasks are independent Speed Up Pipeline Depth; if ideal CPI is 1, then: Pipeline depth Cycle Time Speedup 1 Pipeline stall CPI Cycle Time Hazards limit performance on computers: Structural: need more HW resources Data (RAW,WAR,WAW): need forwarding, compiler scheduling Control: delayed branch, prediction Exceptions, Interrupts add complexity unpipelined pipelined 170 Lecture 2: Processor and Pipelining 37