VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

Similar documents
VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Test Technology and Reliability (ET4076)

VLSI System Testing. Fault Simulation

Lecture 3 - Fault Simulation

Lecture 7 Fault Simulation

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali

Testing Digital Systems I

Fault Simulation. Problem and Motivation

Lecture 28 IEEE JTAG Boundary Scan Standard

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore

Digital Systems Testing

ECE 156B Fault Model and Fault Simulation

Advanced Digital Logic Design EECS 303

Digital System Design with SystemVerilog

Design and Synthesis for Test

L2: Design Representations

VLSI Test Technology and Reliability (ET4076)

Very Large Scale Integration (VLSI)

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

outline Reliable State Machines MER Mission example

Hardware Design and Simulation for Verification

Digital Integrated Circuits

CISC Processor Design

Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip

Testing And Testable Design of Digital Systems

EE434 ASIC & Digital Systems Testing

Chapter 5: ASICs Vs. PLDs

Chapter 9. Design for Testability

Synthesis of Combinational and Sequential Circuits with Verilog

Lecture 11 Logic Synthesis, Part 2

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Digital System Test and Testable Design

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Overview of Digital Design with Verilog HDL 1

12. Use of Test Generation Algorithms and Emulation

A Fault Model for VHDL Descriptions at the Register Transfer Level *

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

CHAPTER 1 INTRODUCTION

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Testing Digital Systems I

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

OUTLINE SYSTEM-ON-CHIP DESIGN. GETTING STARTED WITH VHDL September 3, 2018 GAJSKI S Y-CHART (1983) TOP-DOWN DESIGN (1)

Combinational Equivalence Checking

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

VHDL simulation and synthesis

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

VHDL for Synthesis. Course Description. Course Duration. Goals

ECE 459/559 Secure & Trustworthy Computer Hardware Design

CAD of VLSI Systems. d Virendra Singh Indian Institute of Science Bangalore E0-285: CAD of VLSI Systems

UNIT IV CMOS TESTING

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

Finite State Machines (FSMs) and RAMs and CPUs. COS 116, Spring 2011 Sanjeev Arora

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it.

PROOFS Fault Simulation Algorithm

On Using Machine Learning for Logic BIST

Programmable Logic Devices II

SystemC-to-Layout ASIC Flow Walkthrough

High Quality, Low Cost Test

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

CS 250 VLSI Design Lecture 11 Design Verification

The Need for Speed: Understanding design factors that make multicore parallel simulations efficient

VLSI Test Technology and Reliability (ET4076)

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

ECE 587 Hardware/Software Co-Design Lecture 11 Verification I

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay

ECE 4514 Digital Design II. Spring Lecture 15: FSM-based Control

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

CMOS Testing: Part 1. Outline

INTERCONNECT TESTING WITH BOUNDARY SCAN

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

EEL 5722C Field-Programmable Gate Array Design

Chapter 1 Overview of Digital Systems Design

101-1 Under-Graduate Project Digital IC Design Flow

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis

FPGAs: Instant Access

Synthesizable Verilog

Diagnostic Test Vectors for Combinational and Sequential

I 3 I 2. ! Language of logic design " Logic optimization, state, timing, CAD tools

Extraction Error Diagnosis and Correction in High-Performance Designs

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

Overview of Digital Design Methodologies

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING

FT-UNSHADES credits. UNiversity of Sevilla HArdware DEbugging System.

Logic Verification 13-1

FPGA Design Flow 1. All About FPGA

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs

More Course Information

Faults, Testing & Test Generation

Verilog Tutorial. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Transcription:

VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1

Fault Model - Summary Fault models are analyzable approximations of defects and are essential for a test methodology. For digital logic single stuck-at fault model offers best advantage of tools and experience. Many other faults (bridging, stuck-open and multiple stuck-at) are largely covered by stuck-at fault tests. Stuck-short and delay faults and technologydependent faults require special tests. Memory and analog circuits need other specialized fault models and tests. Jan 25, 2008 E0-286@SERC 2

Fault Simulation Jan 25, 2008 E0-286@SERC 3

Simulation Defined Definition: Simulation refers to modeling of a design, its function and performance. A software simulator is a computer program; an emulator is a hardware simulator. Simulation is used for design verification: Validate assumptions Verify logic Verify performance (timing) Types of simulation: Logic or switch level Timing Circuit Fault Jan 25, 2008 E0-286@SERC 4

Simulation for Verification Specification Synthesis Response analysis Design changes Design (netlist) Computed responses True-value simulation Input stimuli Jan 25, 2008 E0-286@SERC 5

Modeling Levels Modeling level Circuit description Signal values Timing Application Function, behavior, RTL Programming language-like HDL 0, 1 Clock boundary Architectural and functional verification Logic Connectivity of Boolean gates, flip-flops and transistors 0, 1, X and Z Zero-delay unit-delay, multipledelay Logic verification and test Switch Transistor size and connectivity, node capacitances 0, 1 and X Zero-delay Logic verification Timing Circuit Transistor technology data, connectivity, node capacitances Tech. Data, active/ passive component connectivity Analog voltage Analog voltage, current Fine-grain timing Continuous time Timing verification Digital timing and analog circuit verification Jan 25, 2008 E0-286@SERC 6

True-Value Simulation Algorithms Compiled-code simulation Applicable to zero-delay combinational logic Also used for cycle-accurate synchronous sequential circuits for logic verification Efficient for highly active circuits, but inefficient for low-activity circuits High-level (e.g., C language) models can be used Event-driven simulation Only gates or modules with input events are evaluated (event means a signal change) Delays can be accurately simulated for timing verification Efficient for low-activity circuits Can be extended for fault simulation Jan 25, 2008 E0-286@SERC 7

Compiled-Code Algorithm Step 1: Levelize combinational logic and encode in a compilable programming language Step 2: Initialize internal state variables (flipflops) Step 3: For each input vector Set primary input variables Repeat (until steady-state or max. iterations) Execute compiled code Report or save computed variables Jan 25, 2008 E0-286@SERC 8

Event-Driven Algorithm a =1 c =1 0 2 b =1 g e =1 2 g =1 2 d = 0 4 f =0 0 4 8 Time, t Time stack t = 0 1 2 3 4 5 6 7 Scheduled events c = 0 d = 1, e = 0 g = 0 f = 1 Activity list d, e f, g g 8 g = 1 Jan 25, 2008 E0-286@SERC 9

Time Wheel (Circular Stack) Current time pointer max t=0 1 2 3 4 5 6 7 Event link-list Jan 25, 2008 E0-286@SERC 10

Efficiency of Event- driven Simulator Simulates events (value changes) only Speed up over compiled-code can be ten times or more; in large logic circuits about 0.1 to 10% gates become active for an input change Steady 0 0 to 1 event Steady 0 (no event) Large logic block without activity Jan 25, 2008 E0-286@SERC 11

Problem and Motivation Fault simulation Problem: Given A circuit A sequence of test vectors A fault model Determine Fault coverage - fraction (or percentage) of modeled faults detected by test vectors Set of undetected faults Motivation Determine test quality and in turn product quality Find undetected fault targets to improve tests Jan 25, 2008 E0-286@SERC 12

Fault simulator in a VLSI Design Process Verified design netlist Verification input stimuli Fault simulator Test vectors Modeled fault list Remove tested faults Test compactor Delete vectors Fault Low coverage? Adequate Stop Test generator Add vectors Jan 25, 2008 E0-286@SERC 13

Fault Simulation Scenario Circuit model: mixed-level Mostly logic with some switch-level for highimpedance (Z) and bidirectional signals High-level models (memory, etc.) with pin faults Signal states: logic Two (0, 1) or three (0, 1, X) states for purely Boolean logic circuits Four states (0, 1, X, Z) for sequential MOS circuits Timing Zero-delay for combinational and synchronous circuits Mostly unit-delay for circuits with feedback Jan 25, 2008 E0-286@SERC 14

Fault Simulation Scenario Faults Mostly single stuck-at faults Sometimes stuck-open, transition, and path-delay faults; analog circuit fault simulators are not yet in common use Equivalence fault collapsing of single stuck-at faults Fault-dropping -- a fault once detected is dropped from consideration as more vectors are simulated; fault-dropping may be suppressed for diagnosis Fault sampling -- a random sample of faults is simulated when the circuit is large Jan 25, 2008 E0-286@SERC 15

Fault Simulation Algorithms Serial Parallel Deductive Concurrent Jan 25, 2008 E0-286@SERC 16

Serial Algorithm Algorithm: Simulate fault-free circuit and save responses. Repeat following steps for each fault in the fault list: Modify netlist by injecting one fault Simulate modified netlist, vector by vector, comparing responses with saved responses If response differs, report fault detection and suspend simulation of remaining vectors Advantages: Easy to implement; needs only a true-value simulator, less memory Most faults, including analog faults, can be simulated Jan 25, 2008 E0-286@SERC 17

Serial Algorithm Disadvantage: Much repeated computation; CPU time prohibitive for VLSI circuits Alternative: Simulate many faults together Test vectors Fault-free circuit Comparator f1 detected? Circuit with fault f1 Comparator f2 detected? Circuit with fault f2 Comparator fn detected? Circuit with fault fn Jan 25, 2008 E0-286@SERC 18

Parallel Fault Simulation Compiled-code method; best with twostates (0,1) Exploits inherent bit-parallelism of logic operations on computer words Storage: one word per line for two-state simulation Multi-pass simulation: Each pass simulates w-1 new faults, where w is the machine word length Speed up over serial method ~ w-1 Not suitable for circuits with timing-critical and non-boolean logic Jan 25, 2008 E0-286@SERC 19

Parallel Fault Simulation Bit 0: fault-free circuit Bit 1: circuit with c s-a-0 Bit 2: circuit with f s-a-1 a b 1 1 1 1 1 1 1 0 1 c s-a-0 e 0 0 0 1 0 1 c s-a-0 detected 1 0 1 g d f s-a-1 0 0 1 Jan 25, 2008 E0-286@SERC 20

Thank You Jan 25, 2008 E0-286@SERC 21