Tutorial for Cadence SOC Encounter Place & Route

Similar documents
Tutorial 2 Automatic Placement & Routing

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Tutorial for Encounter

EE 330 Laboratory Experiment Number 11

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元

Physical Placement with Cadence SoCEncounter 7.1

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim

Cadence SOC Encounter Tutorial for a logic block using the University Of Utah Standard cell Libraries In ON Semiconductor 0.

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

Place & Route: Using Silicon Ensemble

CMOS VLSI Design Lab 3: Controller Design and Verification

Synthesis and APR Tools Tutorial

CMOS VLSI Design Lab 3: Controller Design and Verification

CMOS VLSI Design Lab 3: Controller Design and Verification

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS:

Cadence On-Line Document

Abstract Editor (Last updated: Oct. 23, 2008)

Digital IC- Project 1. Place and Route. Oskar Andersson. Oskar Andersson, EIT, LTH, Digital IC project and Verifica=on

CS 4120 Hardware Description Languages and Synthesis Homework 6: Introduction to Cadence Silicon Ensemble

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

Another view of the standard cells called the abstract view needs to generated

CADENCE TUTORIAL. San Diego State University, Department of Electrical and Computer Engineering. Amith Dharwadkar and Ashkan Ashrafi

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

Virtuoso Layout Editor

Lesson 9: Processing a Schematic Design

Part B. Dengxue Yan Washington University in St. Louis

ASIC Physical Design Top-Level Chip Layout

Virtuoso Schematic Composer

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

An Overview of Standard Cell Based Digital VLSI Design

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

An overview of standard cell based digital VLSI design

Exercise 1. Section 2. Working in Capture

Introduction to Design Architect

Procedure for PCBoard Layout

DRC and LVS checks using Cadence Virtuoso Version 3.0

Design rule illustrations for the AMI C5N process can be found at:

EECS 627, Lab Assignment 3

Simulating a Design Circuit Using Qsim

OrCad & Spice Tutorial By, Ronak Gandhi Syracuse University

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

UNIVERSITY OF WATERLOO

From SOC Encounter to Virtuoso (version 3.1)

AMIS CDNLive Paper: A DFII Based Place and Route Interface

The IIT standard cell library Version 2.1

Pipelined MIPS CPU Synthesis and On-Die Representation ECE472 Joseph Crop Stewart Myers

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

Analog IC Schematic Capture. Mentor Graphics 2006

ECE471/571 Energy Ecient VLSI Design

Tutorial 3 - Performing a Change-Point Analysis in Excel

Cadence IC Design Manual

How to Get Started. Figure 3

Lesson 5: Board Design Files

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

Select the technology library: NCSU_TechLib_ami06, then press OK.

Orcad Layout Plus Tutorial

S Exercise 1C Testing the Ring Oscillator

Instruction on padframe

Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0.

CMOS Design Lab Manual

Asic Design ET Alexander de Graaf, EEMCS/ME/CAS 5/20/14. Challenge the future. Delft University of Technology

C Allegro Package Designer Flows

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Cell-Based Design Flow. TA : 吳廸優

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

Tutorial II: Cadence Virtuoso ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof.

Lecture Content. 1 Adam Teman, 2018

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm

Introduction to Innovus

RTL Design and IP Generation Tutorial. PlanAhead Design Tool

- create new schematic to the new project, PCB design begins with a schematic diagram, which present how components are connected

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

PlanAhead Software Tutorial

EECS 627, Lab Assignment 2

Malikarjun Avula, Emil Jovanov Electrical and Computer Engineering Department University of Alabama in Huntsville CPE 495 September 03, 2009

Place & Route Tutorial #1

Creating More Detailed Forms

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

Creating a Multi-line Plate

ASIC Physical Design Standard-Cell Design Flow. Using the Cadence Encounter Digital Implementation System

Batch Processing: The Complete Synthesize, Place, and Route Flow

Eliminating Routing Congestion Issues with Logic Synthesis

Using the ispxpga Floorplanner

MAIL MERGE DIRECTORY USE THE MAIL MERGE WIZARD

GUIDELINES FOR USE SMIC 0.18 micron, 1.8 V high-density synchronous single port SRAM IP blocks compiler

EE582 Physical Design Automation of VLSI Circuits and Systems

Using Synplify Pro, ISE and ModelSim

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

PADS-PowerPCB 4 Tutorial (with Blazeroute)

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

Transcription:

Tutorial for Cadence SOC Encounter Place & Route For Encounter RTL-to-GDSII System 13.15 T. Manikas, Southern Methodist University, 3/9/15 Contents 1 Preliminary Setup... 1 1.1 Helpful Hints... 1 2 Starting Tool and Reading in the Design Files... 2 2.1 Saving and Restoring Your Design... 9 3 Floorplanning... 12 3.1 Specify Floorplan... 12 4 Power Planning... 14 4.1 Connect Global Nets... 14 4.2 Power Rings... 15 4.3 Power Stripes... 18 4.4 Connect Power to Standard Cell Rows... 22 5 Placing the Standard Cells... 23 6 Routing... 25 7 Adding Filler Cells... 27 1 Preliminary Setup Create a separate directory for the above files in your account (e.g., Encounter). Create the subdirectories synth and lib 1. Move full_adder_pads_syn.v to the synth directory 2. Move osu05_stdcells.lef to the lib directory 1.1 Helpful Hints 1. Some of the pop-up windows may be too big for your screen, making it hard to access the button on the bottom of the window. To move a window in X-windows, point your mouse anywhere in the window and press the ALT key and the right mouse button. 1

2 Starting Tool and Reading in the Design Files 1. Make sure that you are in your main separate directory (e.g., Encounter) as mentioned earlier 2. At the Unix prompt, type: encounter 3. When the Encounter tool window appears, go to the menu bar and select File, Import Design to get the Design Import window. 4. For the Verilog Netlist, click on the box with the dots [ ] to open the Netlist Files window 2

5. Click on the ">>" button to expand the window to show the directories: 3

6. Double-click on the synth folder, then select the file full_adder_pads_syn.v and click the Add button to add it to the Netlist Files list. Click Close to close the Netlist Files window. 4

7. In the main window, for Top Cell, select "Auto Assign" 5

8. For Technology/Physical Libraries, select "LEF Files". Click on the [ ] button open the LEF Files window. 6

9. Using the same approach as for selecting the Verilog Netlist file, select the file lib/osu05_stdcells.lef 7

10. For Power, enter the following: a. Power Nets: vdd b. Ground Nets: gnd 11. Click on OK. 8

2.1 Saving and Restoring Your Design NOTE: It is a good idea to save your design periodically. Select File, Save Design. In the Save Design Window, select Data Type: Encounter. The program will assign a default filename with extension *.enc. You may change the name, but do not change the extension. 9

To load a saved Encounter file, do File, Restore Design. In the Restore Design Window, select Data Type: Encounter. Select the file to be restored. 10

11

3 Floorplanning 3.1 Specify Floorplan In Encounter tool menu bar, select Floorplan, Specify Floorplan to get the Specify Floorplan window. 1. In the Basic tab, select the following options: a. Core Margins select Core to IO Boundary and set all margins to 100 2. Click on OK. 12

13

4 Power Planning 4.1 Connect Global Nets In Encounter tool menu bar, select Power, Connect Global Nets to get the Global Net Connections Window. 1. In Power Ground Connection a. In the Connect area, select Pin b. In the Scope area, select Apply All 2. For each net vdd and gnd, do the following: a. Enter the net name (vdd or gnd) in the following boxes: i. "To Global Net" ii. "Pin Name(s)" b. Click on the "Add to List" button 3. Click Apply, then click Cancel 14

4.2 Power Rings In Encounter tool menu bar, select Power, Power Planning, Add Rings to get the Add Rings window. 1. For Net(s), enter vdd and gnd nets as follows: a. Click on [ ] box to get Net Selection window b. Select vdd and gnd from Possible Nets column c. Click Add to copy to Chosen Nets column d. Click OK 15

2. In Ring Configuration, select metal1 for Top and Bottom, metal2 for Left and Right. a. Width should be 8 b. Spacing should be 1 c. Offset should be Center in channel 3. Click OK 16

17

4.3 Power Stripes In Encounter tool menu bar, select Power, Power Planning, Add Stripes to get the Add Stripes window. 1. Basic Tab a. For Net(s), enter vdd and gnd nets as follows: i. Click on [ ] box to get Net Selection window ii. Select vdd and gnd from Possible Nets column iii. Click Add to copy to Chosen Nets column iv. Click OK b. In Set Configuration, select Layer metal2 and Direction vertical. Width should be 8 and Spacing should be 1. c. In Set Pattern, set Set-to-set distance to 100 d. In First/Last Stripe, select Relative from core or selected area, X from left to 20 18

2. Advanced Tab a. Set Snap wire center to routing grid as Grid 3. Click OK NOTE: MAY NEED TO USE ALT-RIGHT MOUSE TO MOVE WINDOW TO GET TO OK BUTTON (SEE HINT IN SECTION 1.1 above). 19

20

21

4.4 Connect Power to Standard Cell Rows In Encounter tool menu bar, select Route, Special Route, and click OK. This will create power (vdd) and ground (gnd) rails for your standard cell rows. Save your design using the procedure described in Section 2.1 above. 22

5 Placing the Standard Cells In Encounter tool menu bar, select Place, Standard Cells to get the Place window. 1. Select Run Full Placement and Include Pre-Place Optimization 2. Click OK After cells are placed, change to Physical View in the Encounter Window to see placement results. 23

24

6 Routing In Encounter tool menu bar, select Route, NanoRoute, Route to get the NanoRoute window. 1. Click OK. 25

26

7 Adding Filler Cells 1. Now that we have routed all the wires and placed all the cell in our design, we will add empty filler cells to the design. Select Place, Physical Cell, Add Filler. 2. In the Add Filler window, enter the Cell Name FILL and check Mark Fixed. Click OK. 27

3. Note that filer cells are added to the layout: 28