ISSN Vol.03, Issue.08, October-2015, Pages:

Similar documents
Design and Implementation of High-Performance Master/Slave Memory Controller with Microcontroller Bus Architecture

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA)

iimplementation of AMBA AHB protocol for high capacity memory management using VHDL

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

Pooja Kawale* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3,

Design & Implementation of AHB Interface for SOC Application

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction.

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp

VLSI Design of Multichannel AMBA AHB

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others!

AMBA AHB Bus Protocol Checker

Architecture of An AHB Compliant SDRAM Memory Controller

Design And Implementation of Efficient FSM For AHB Master And Arbiter

Buses. Maurizio Palesi. Maurizio Palesi 1

1. INTRODUCTION OF AMBA

Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus

Design of AMBA Based AHB2APB Bridge

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

BUILDING AN AMBA COMPLIANT MEMORY CONTROLLER

Ref: AMBA Specification Rev. 2.0

Improving Memory Access time by Building an AMBA AHB compliant Memory Controller

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS

AMBA Protocol for ALU

AMBA 3 AHB Lite Bus Architecture

ISSN: [IDSTM-18] Impact Factor: 5.164

Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

Chapter 6 Storage and Other I/O Topics

5. On-chip Bus

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE

Design and Verification of AMBA AHB- Lite protocol using Verilog HDL

Performance of AHB Bus Tracer with Dynamic Multiresolution and Lossless Real Time Compression

Assertion Based Verification of AMBA-AHB Using System Verilog

Design of High Speed AMBA Advanced Peripheral Bus Master Data Transfer for Microcontroller

August Issue Page 96 of 107 ISSN

The CoreConnect Bus Architecture

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

ISSN Vol.03, Issue.02, March-2015, Pages:

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER

Serial Peripheral Interface Design for Advanced Microcontroller Bus Architecture Based System-on- Chip

ARM Processors for Embedded Applications

Chapter 2 The AMBA SOC Platform

of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture

Bus Interfaces and Standards. Zeljko Zilic

An Efficient Multi Mode and Multi Resolution Based AHB Bus Tracer

Lecture 10 Introduction to AMBA AHB

FSM & Handshaking Based AHB to APB Bridge for High Speed Systems

DESIGN OF ON-CHIP BUS OCP PROTOCOL WITH BUS FUNCTIONALITIES

An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip

White Paper AHB to Avalon & Avalon to AHB Bridges

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

AXI and OCP protocol Interface for Sytem on Chip

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164

System-On-Chip Design with the Leon CPU The SOCKS Hardware/Software Environment

Design and Verification Point-to-Point Architecture of WISHBONE Bus for System-on-Chip

Copyright 2016 Xilinx

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Verification of AMBA AXI4 Protocol Using UVM

AHB-Lite Multilayer Interconnect IP. AHB-Lite Multilayer Interconnect IP User Guide Roa Logic, All rights reserved

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

ECE 551 System on Chip Design

Digital Blocks Semiconductor IP

EECS 373 Design of Microprocessor-Based Systems

Verification of Advanced High Speed Bus in UVM Methodology

A Direct Memory Access Controller (DMAC) IP-Core using the AMBA AXI protocol

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA

Design and Implementation of AXI to AHB Bridge Based on AMBA 4.0

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION

Design and Implementation of AMBA AXI to AHB Bridge K. Lakshmi Shirisha 1 A.Ramkumar 2

The SOCks Design Platform. Johannes Grad

A Synthesizable RTL Design of Asynchronous FIFO Interfaced with SRAM

System Verification of Hardware Optimization Based on Edge Detection

Design and Implementation of A Reconfigurable Arbiter

Design and Implementation of Buffer Loan Algorithm for BiNoC Router

FPGA for Software Engineers

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG

ISSN Vol.05, Issue.12, December-2017, Pages:

Novel Architecture for Designing Asynchronous First in First out (FIFO)

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

HARDWARE BUFFER MEMORY OF THE MULTIPROCESSOR SYSTEM

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL

ISSN:

Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design

2. BLOCK DIAGRAM Figure 1 shows the block diagram of an Asynchronous FIFO and the signals associated with it.

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

ARM ARCHITECTURE. Contents at a glance:

Using FPGAs as a Flexible PCI Interface solution

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

OCB-Based SoC Integration

Chapter 3. Top Level View of Computer Function and Interconnection. Yonsei University

System-Level Power Analysis Methodology Applied to the AMBA AHB Bus

Novel Design of Dual Core RISC Architecture Implementation

Digital Blocks Semiconductor IP

Design and Analysis of On-Chip Router for Network On Chip

Transcription:

ISSN 2322-0929 Vol.03, Issue.08, October-2015, Pages:1284-1288 www.ijvdcs.org An Overview of Advance Microcontroller Bus Architecture Relate on AHB Bridge K. VAMSI KRISHNA 1, K.AMARENDRA PRASAD 2 1 Research Scholar, Dept of ECE, Shree Institute of Technical Education, Tirupathi, AP, India, Email:krishnavamsi.636@gmail.com. 2 Asst Prof, Dept of ECE, Shree Institute of Technical Education, Tirupathi, AP, India, Email: amarendraprasad464@gmail.com. Abstract: As microprocessor performance has relentlessly improved in recent years, it has become increasingly important to provide a high-bandwidth, low-latency memory subsystem to achieve the full performance potential of these processors. In the past years, improvements in memory latency and bandwidth have not kept pace with reductions in instruction execution time. Caches have been used extensively to patch over this mismatch, but some applications do not use caches effectively. The result is that the memory access time has been a bottleneck which limits the system performance. A Memory Controller is designed to cater to this problem. The Memory Controller is a digital circuit which manages the flow of data going to and from the main memory. It can be a separate chip or can be integrated into the system chipset. This paper revolves around building an Advanced Microcontroller Bus Architecture (AMBA) compliant Memory Controller as an Advanced High-performance Bus (AHB) slave. The whole design is captured using VHDL, simulated with ModelSim and configured to a FPGA target device belonging to the Virtex4 family using Xilinx. Keywords: Advanced Microcontroller Bus Architecture (AMBA), AMBA High-Performance Bus (AHB), System-On-Chip, Field Programmable Gate Array16t (FPGA), Memory Controller. I. INTRODUCTION Since 1985, microprocessor performance has improved at a rate of 60% per year. In contrast, latencies have improved by only 7% per year, and bandwidths by only 15-20% per year. The result is that the relative performance impact of memory accesses continues to grow. In addition, the demand for memory bandwidth has increased proportionately (and possibly even super linearly). These trends make it increasingly hard to make effective use of the tremendous processing power of modern microprocessors. Hence, Memory Controllers are built to attack these disadvantages. It provides a high bandwidth and low latency access to off-chip memory. A Memory Controller translates the accesses from the requestors into commands understood by the memory. It generates the necessary signals to control the reading and writing of information from and to the memory, and interfaces the memory with the other major parts of the system. The front end of the general memory controller buffers requests and responses and provides an interface to the rest of the system. The back end provides an interface towards the target memory. The embedded processors, such as ARM, of today's technology operate up to a few hundred mega-hertz. Most of embedded and SoC applications are suited to operate with these frequency requirements. But some high end applications, such as InfiniBand, require much more processing power and should operate at much higher frequency. In such a case, it is desirable to implement embedded and SoC application with multiple processors. AMBA (Advanced Micro-controller Bus Architecture) is one of the widely used system bus architecture which caters to the above requirements. The memory controller is compatible with Advanced High-performance Bus (AHB) which is the latest generation of AMBA bus, and hence called as AHB- MC. The AHB-MC is an Advanced Microcontroller Bus Architecture (AMBA) compliant System-on-Chip (SoC) peripheral. It is developed, tested, and licensed by ARM Limited. Supports multiple memory devices of different types SynthesizableVerilog /VHDL RTL source Adheres to the AMBA AHB protocol The AHB - MC has several features: like SRAM, ROM, and Flash Shares data path between memory devices to reduce Provides test bench and verification vectors Supports AHB single beat, 4 beat and 8 beat wrapping Pincount bursts and split transaction. II. ARCHITECTURE OF AMBA BASED SIMPLEMICROCONTROLLER An AMBA-based microcontroller typically consists of a high-performance system backbone bus (AMBA AHB or AMBA ASB), able to sustain the external memory bandwidth, on which the CPU, on-chip memory and other Direct Memory Access (DMA) devices reside. This bus provides a high-bandwidth interface between the elements that are involved in the majority of transfers. Fig1 shows AMBA based Simple Microcontroller. Also located on the high performance bus is a bridge to the lower bandwidth APB, where most of the peripheral devices in the system are Copyright @ 2015 IJVDCS. All rights reserved.

located. AMBA APB provides the basic peripheral macro cell communications infrastructure as a secondary bus from the higher bandwidth pipelined main system bus. Such peripherals typically: Have interfaces which are memory-mapped registers Have no high-bandwidth interfaces Are accessed under programmed control. The AMBA specification has become a de-facto standard for the semiconductor industry, it has been adopted by more than 95% of ARM s partners and a number of IP providers. The specification has been successfully implemented in several ASIC designs. Since the AMBA interface is processor and technology independent, it enhances the reusability of peripheral and system components across a wide range of applications.the AMBA specification hasbeen derived to satisfy the following four key requirements. To facilitate the right-first-time development of Embedded Microcontroller Products with one or more CPUs or signal processors. To be technology-independent and ensure that highly reusable peripheral and system macro cells can be migrated across a diverse range of IC processes and be appropriate for full custom, standard cell and gate array technologies. To encourage modular system design to improve processor independence, providing a development roadmap for advanced cached CPU cores and the development of peripheral libraries. (iv)to minimize the silicon infrastructure required supporting efficient on-chip and off-chipcommunication for both operation and manufacturing test. K. VAMSI KRISHNA, K.AMARENDRA PRASAD masters. For example, at least the processor and test interface. However, it would also be common for a Direct Memory Access (DMA) or Digital Signal Processor (DSP) to be included as bus masters. The external memory interface, APB bridge and any internal memory are the most common ASB slaves. Any other peripheral in the system could also be included as an ASB slave. However, lowbandwidth peripherals typically reside on the APB. B. The Advanced Peripheral Bus (APB) The APB is part of the AMBA hierarchy of buses and is optimized for minimal power consumption and reduced interface complexity. The AMBA APB appears as a local secondary bus that is encapsulated as a single AHB or ASB slave device. APB provides a low-power extension to the system bus which builds on AHB or ASB signals directly. The APB bridge appears as a slave module which handles the bus handshake and control signal retiming on behalf of the local peripheral bus. By defining the APB interface from the starting point of the system bus, the benefits of the system diagnostics and test methodology can be exploited. The AMBA APB should be used to interface to any peripherals which are low bandwidth and do not require the high performance of a pipelined bus interface. The latest revision of the APBis specified so that all signal transitions are only related to the rising edge of the clock. This improvement ensures the APB peripherals can be integrated easily into any design flow. These changes to the APB also make it simpler to interface it to the new AHB. An AMBA APB implementation typically contains a single APB bridge which is required to convert AHB or ASB transfers into a suitable format for the slave devices on the APB. The bridge provides latching of all address, data and control signals, as well as providing a second level of decoding to generate slave select signals for the APB peripherals. Fig. 1. AMBA based Simple Microcontroller. III. DIFFERENT AMBABUSES The Advanced Microcontroller Bus Architecture (AMBA) is ARM s no-cost, open specification, which defines an onchip communications standard for designing high performance Embedded Microcontrollers. Three distinct buses are defined within the AMBA specification: A. The Advanced System Bus (ASB) B. The Advanced Peripheral Bus (APB). C. The Advanced High-performance Bus (AHB) A. The Advanced System Bus (ASB) ASB is the first generation of AMBA system bus. A typical AMBA ASB system may contain one or more bus C. The Advanced High-performance Bus (AHB) AHB is a new generation of AMBA bus which is intended to address the requirements of high-performance synthesizable designs. It is a high-performance system bus that supports multiple bus masters and provides highbandwidth operation. Bridging between this higher level of bus and the current ASB/APB can be done efficiently to ensure that any existing designs can be easily integrated. An AMBA AHB design may contain one or more bus masters, typically a system would contain at least the processor and test interface. However, it would also be common for a Direct Memory Access (DMA) or Digital Signal Processor (DSP) to be included as bus masters. The external memory interface, APB bridge and any internal memory are the most common AHB slaves. Any other peripheral in the system could also be included as an AHB slave. IV. ARCHITECTURE OF AMBA-AHB The AHB is a new generation AMBA bus which is intended to address the requirements of high-performance synthesizable designs. It is a system bus that supports multiple bus masters and provides high bandwidth operation.

An Overview of Advance Microcontroller Bus Architecture Relate on AHB Bridge The AHB implements features required for high clock frequency systems including: i) burst transfers; ii) split transactions; iii) single-cycle bus master handover; iv) singleclock edge operations; v) non-tristate implementations; and vi) wider data bus configurations (64/128 bits). A. Bus interconnection The AHB bus protocol is designed to be used with a central multiplexer (MUX) interconnection scheme. Using this scheme, all bus masters drive out the address and control signals, indicating the transfer they wish to perform, while the arbiter determines which master has its address and control signals routed to all of the slaves. A central decoder is also required to control the read data and response MUX, which selects the appropriate signals from the slave that is involved in the transfer. B. Basic transfer The AHB data transfer consists of twodistinct phases: (i) address phase, which lasts only one single cycle; (ii) data phase, which may require several cycles. This is realized by using the Hready signal.the simplest transfer, one with no wait states. The top-level implementation of an AHB compliant MC is shown in Figure. The data are initiated by the master and communicated through the slave to the MC. Initially, the master generates the data and control signals; those controls cannot directly communicate with any given generic memory and hence, data are processed through the slave; further, data pass through the slave interface. We have used FIFO for data and control buffering so that even the slave and memory are in different clocks, ensuring thatour communication is guaranteed. It reduces the complexity. Further, data are transmitted through the random-access memory(ram) or read only memory (ROM), depending upon the read or write communication. be generated by the bus master. The state diagram of a slave interface. It is an FSM implementation; the initial condition is the reset state that is an idle state when no operation is involved. As the start signal arrives, the FSM triggers. Depending on the HRead and HWrite signals, it decides upon to which state it has to move. If HReady is low, the system will stay in the starting state; if HReady is high, based on HWrite, it goes to read or write state. If HWrite is high, the state passes on to the write state; otherwise, if HRead is high, the system enters the read state. If HReady is low, the system transfers to the wait state; it remains in this state until HReady becomes high again. If any error occurs, the system enters the error state. E. Memory controller (MC): The state diagram of the MC. It is also an FSM implementation; the initial condition is reset state which is an idle state when no operation is there. When start signals arrive, the FSM triggers; depending upon the instruction, its operation is decided on by command control state. Based on the instruction, it moves to RAM read, RAM write and ROM read operations. Two important tasks for the MC are to handle all the scheduling among the different commands and to keep track of which rows are presently activated. The activation of rows is time consuming and therefore, the MC has a look ahead functionality where the arbitrator can report which command is going to be executed after the current one has been completed. Thearbitrator makes it possible to activate the row in advance if the next command is not retrieving the same bank or chip as the current command. C. AMBA high performancebus (AHB) master An AHB master has the most complex bus interface in an AMBA system. It contains mainly the address generator and controller. Initially, the first address is given by the CPU; then, it passes to the MUX. Depending on the selection, it sends its data to the address generation block. The number of addresses generated is given by a counter which decides how many addresses are required depending on the HBurst signal. There is a controller implemented by FSM which takes care of the entire operation of the different blocks. Figure 8 presents the structural implementation of the address generator block, which is a combination of 2:1 MUX and 8:1 MUX. In this architecture, the initial address is given by the CPU and next addresses are generated by the various blocks such as an increment operator (INCR). D. AMBA high-performance bus (AHB) slave An AHB slave responds to transfers initiated by the bus masters within the system. The slave uses a select control signal (HSELx) from the decoder to determine when it should respond to a bus transfer. All other signals required for the transfer, viz. the address and control information will Fig.2. Top architecture of AHB memory controller. F. First-in first-out (FIFO) The FIFO is a method of procession and retrieving data.in a FIFO system, the first items entered are the first once to be removed. In other words, the items are removed in the order they are entered. A FIFO buffer consisting of two modules (called source and sink) connected to one another. When data are being passed from module to module, the source is the module that is outputting data. The sink is the module that is receiving that data. Three signals are shown between the two modules A and B: data, FIFO full and FIFO empty. The line marked data represents the wire that actually passes the data

K. VAMSI KRISHNA, K.AMARENDRA PRASAD from the source to sink. The FIFO full and FIFO empty are V. SIMULATION RESULTS known as handshaking signals, which allow the source and sink to communicate when it is time to pass the data. The FIFO full signal indicates that the FIFO is full and puts valid data on the data line. FIFO full is what is called a state signal it is high only when data are valid. If data are not valid on the data line during a particular cycle, valid should be low during that cycle. Fig6. Block diagram. Fig3. Top architecture of AHB bus master. Fig7. RTL Schematic diagram. Fig.4. Address generator of master. Fig.5. First in first out (FIFO). Fig8. Technology schematic.

An Overview of Advance Microcontroller Bus Architecture Relate on AHB Bridge VI. CONCLUSION The read write operation is accomplished with zero wait states from the external ROM and the write operation with zero states to the external RAM. By proposing the parallel communication in AMBA-AHB, the data transfer operation will be fast as compared to serial communication. It also provides the opportunity to use masters and slaves up to 16 numbers and the data of every master is read and written simultaneously. With the increase in system frequency, it is hard to achieve address decoding and memory access operations in one clock cycle due to which wait states are inserted. But the method of inserting wait states will result in dramatic drop in system performance. Therefore, the burst method is presented in this paper to resolve the problem. When the first beat of a burst is accepted, it contains data about the remaining beats. For example, when AHB-MC gets the first beat of a read burst, all the data required to complete the transfer can be read from memory and restored in the read data FIFO. So this first transfer has some delay before data is returned. But subsequent beats of the burst can have less delay because the data they require might have already been prepared in the FIFO. VII. REFERENCES [1] K. Goossens, O. P. Gangwal, J. Rover, and A. P. Niranjan, Interconnect and memory organization in SOCs for advanced set-top boxes and TV evolution, analysis, and trends, in J. Nurmi, H. Tenhunen, J. Isoaho, and A. Jantsch, Editors, Interconnect-Centric Design for Advanced SoC and NoC, Chapter 15, pp. 399 423, Kluwer, 2004. [2] Y. Hu and B. Yang, Building an AMBA AHB compliant memory controller, Proceedings of the Third InternationalConference on Measuring Technology and Mechatronics Automation, Vol. 01, 2011, pp. 658 661. [3] S. Rao and A. S. Phadke, Implementation of AMBA compliant memory controller on a FPGA, International Journal of EmergingTrends in Electrical and Electronics, Vol. 2, April 2013, pp. 20 23. [4] AMBA Specification (Rev 2.0), ARM Inc., 1999. [5] AHB Example AMBA System, Technical Reference Manual, ARM Inc., 1999.