YE02 Semiconductor Manufacturing Market: Foundry Services (Executive Summary) Executive Summary

Similar documents
Midyear 2002 Semiconductor Manufacturing Market: Foundry (Executive Summary) Executive Summary

Semiconductor Market for Data Processing: Asia/Pacific, 3Q03

4Q03 Update: Global Semiconductor Forecast Scenarios

DBMS Software Market Forecast, (Executive Summary) Executive Summary

DRAM Forecast Outlook, 3Q03: Price Rises Force Forecast Up

Firewall and IP Virtual Private Network Equipment: Worldwide, 2002 (Executive Summary) Executive Summary

1Q03 Scenarios: Semiconductor Capital and Equipment Spending

4Q02 Update: Disk Storage Forecast Scenarios,

2002 Semiconductor Equipment Market Share Analysis (Executive Summary) Executive Summary

4Q03 Update: Wireless Communications Semiconductor Forecast,

SOHO and Residential Routers: Worldwide Market Share and Forecast, (Executive Summary) Executive Summary

IP Backbone Opportunities in Asia/Pacific (Executive Summary) Executive Summary

Worldwide 2002 Security Software Market and Vendor Shares (Executive Summary) Executive Summary

Global Telecommunications Market Take, 1Q03 (Executive Summary) Executive Summary

Fixed Public Services Trends in CEE and MEA, (Executive Summary) Executive Summary

4Q03 Update: Wired Communications Semiconductor Forecast,

Worldwide Memory Forecast, 1Q03 (Executive Summary) Executive Summary

Current and Next-Generation Switching in Asia/Pacific and Japan, 2003 (Executive Summary) Executive Summary

AIOs Displace Single-Function Printers in Latin America

Mobile Phones, Poor Economy to Dampen PDA Market to 2007

Worldwide Workstation Shipments Rebound in Third Quarter

Huawei: China's Leading Equipment Vendor Returns to Growth

IT Services: Identifying the Addressable Markets for Telecom Operators (Executive Summary) Executive Summary

Central and Eastern Europe: Premises Switching Equipment Market Share, 2002 (Executive Summary) Executive Summary

Midsize Business Voice Service Spending Steady for 2003

IT Services' IP Telephony-Related Growth Remains Strong Through 2007 (Executive Summary) Executive Summary

Trends in Fixed Public Network Services: Austria, (Executive Summary) Executive Summary

Mobile Terminals: Middle East, (Executive Summary) Executive Summary

Asia/Pacific and Japan: Optical Network Equipment Market, (Executive Summary) Executive Summary

Worldwide Memory Forecast, 4Q03 (Executive Summary) Executive Summary

Vertical Market Trends: Western Europe, (Executive Summary) Executive Summary

Trends in Fixed Public Network Services: Germany, (Executive Summary) Executive Summary

Leased-Line Market Thrives in Asia/ Pacific Despite Bandwidth Glut (Executive Summary) Executive Summary

4Q03 Update: Data Processing Semiconductor Forecast,

FICON Drives Fibre Channel Security

Final 2002 Asia/Pacific Semiconductor Market Share by Geographical Area (Executive Summary) Executive Summary

Mobile Terminals: Western Europe, (Executive Summary) Executive Summary

Leveraging China's Memory Market Opportunity

Public Infrastructure: What s in Store for 2003?

Wireless Local Loop: Cellular in Waiting? (Executive Summary) Executive Summary

Production Surge Boosts Automotive Semiconductor Market

PC Manufacturing Is Surviving SARS-Induced Market Fears in China

Europe Wants Security Software, Despite Tight Budgets (Executive Summary) Executive Summary

Trends in Fixed Public Network Services: Finland, (Executive Summary) Executive Summary

Hardware Decisions for Embedded Systems Design in Asia/Pacific

Network-Attached Storage Market Shows Weakness

Midsize Businesses Slowly Warm Up to Storage Services

Semiconductor Manufacturing Market Outlook: Fundamentals Point to Growth

Sizzles and Fizzles in the Server Forecast

Host-Bus RAID Controller Worldwide Market Share, 2002 (Executive Summary) Executive Summary

Mobile Java: A New Opportunity for Data Services (Executive Summary) Executive Summary

NGN: The Evolution of Wireless Networks

External RAID-Based Storage System Analysis by Form Factor

NGN: Enterprise IP Telephony

4Q02 Update: Data Processing Forecast Scenarios,

Spending on Service Provider Routers Begins to Grow in EMEA

Little Smart, Big Plans: PHS Storms Ahead in China

Asia/Pacific: Systems Consolidation, Hype or Reality?

Finding Pure-Play Midtier ESPs: A Two-Step Process

Continuing Weakness in Public Infrastructure Markets

HDD Head and Media Markets Show Variable Growth and Decline, (Executive Summary) Executive Summary

Survey of Mobile Phone Users in Poland and Russia, 2003 (Executive Summary) Executive Summary

Asia/Pacific: Embedded Systems Design, Software Decisions

Market Scope. Magic Quadrant Methodology

Choices Multiply in Midrange and High-End Color Printing

Kaufman Brothers 13 th Annual Investor Conference

Contents. Semiconductor DQ Monday Report Issue 20

TCPN-WW-CV-0102 Frank Fabricius

Latin American PC Industry, 2Q02 (Executive Summary) Executive Summary

Many Challenges Remain for Mobile Communications

IT Services in Malaysia in a State of Transition, (Executive Summary) Executive Summary

NGN: Carriers and Vendors Must Take Security Seriously

China: User Perceptions and Plans for PCs and PDAs in 2003

2Q03 Update: Data Processing Semiconductor Forecast,

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager, SEMI Vietnam Semiconductor Strategy Summit September 16-17, 20214

RESEARCH BULLETIN MARCH 27, 2013

As a note, this presentation was released as the dates shown and reflected management views as of these dates. All information in this presentation

Conference call July 26, :00 / Helsinki 08:00 / New York 1 Nokia 2016 Q2 2018

SEMICO Res e arch Corporation

Dallas EXECUTIVE OVERVIEW. Multi-Tenant Datacenter Market MARKET FORECAST JUL Stefanie Williams, Associate Analyst, Multi-Tenant Datacenters

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager Industry Research & Statistics, SEMI

Credit Suisse European Technology Conference

Conference call April 26, :00 / Helsinki 08:00 / New York 1 Nokia 2016 Q1 2018

TABLE OF CONTENTS III. Section 1. Executive Summary

Chinese Cellular Phone Vendors Are Catching Up

Apple Computer Unveils New Hybrid X Server Operating System

Semiconductor Market Outlook. Analog Semiconductor Leaders' Forum October 2011

ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November Warren East Chief Executive Officer

Push-to-Talk Brings Voice-Based Instant Messaging to Europe

Taiwan Semiconductor Manufacturing Company Ltd. Announces First Quarter Results for the Period Ended March 31, 2000

Sybase Executes on Its Partnership Strategy

China's Capital Expenditure Boom Is Over

Storage Network Infrastructure Market Definitions and Forecast Methodology Guide, Gartner Dataquest Guide

14th Edition. Global Macrocell Radio Transceiver (TRx) Unit Market Analysis and Forecast, April 2018

Archive 2017 BiTS Workshop- Image: Easyturn/iStock

Fab Investment Outlook and The Surge of China. Shanshan Du Senior Analyst SEMI China June 2018

Himax Technologies (HIMX) Devin D Angelo

Change & Configuration Management Market

Asia/Pacific and Japan Telecom CAPEX Growth: Don't Hold Your Breath

SONET Links Extend Fibre Channel SANs

Transcription:

YE02 Semiconductor Manufacturing Market: Foundry Services (Executive Summary) Executive Summary Publication Date: 15 April 2003

Author James Hines This document has been published to the following Marketplace codes: SEMC-WW-EX-0219 For More Information... In North America and Latin America: +1-203-316-1111 In Europe, the Middle East and Africa: +44-1784-268819 In Asia/Pacific: +61-7-3405-2582 In Japan: +81-3-3481-3670 Worldwide via gartner.com: www.gartner.com Entire contents 2003 Gartner, Inc. and/or its Affiliates. All Rights Reserved. Reproduction of this publication in any form without prior written permission is forbidden. The information contained herein has been obtained from sources believed to be reliable. Gartner disclaims all warranties as to the accuracy, completeness or adequacy of such information. Gartner shall have no liability for errors, omissions or inadequacies in the information contained herein or for interpretations thereof. The reader assumes sole responsibility for the selection of these materials to achieve its intended results. The opinions expressed herein are subject to change without notice. 114099

YE02 Semiconductor Manufacturing Market: Foundry Services (Executive Summary) Forecast Overview The semiconductor foundry market is made up of specialized contract manufacturers (foundries) that perform wafer fabrication services for fabless semiconductor companies, integrated device manufacturers (IDMs) and system original equipment manufacturers (OEMs). In the majority of cases, the customer creates a design for an IC that is transmitted to the foundry by way of GDS-II tapes (or the equivalent). The foundry fabricates the IC on silicon wafers, which are then delivered to the customer or to another contract manufacturer for packaging, assembly and testing. 2002 was a "mixed bag" for the foundry market. A surge in wafer demand during the first half of the year had many believing the recovery was under way, but growth began to falter in the third quarter, and the leading foundries presented a somewhat pessimistic outlook for the fourth quarter. Fortunately, the pessimism appears to have been overstated because sales during the fourth quarter were better than expected, leading Taiwan Semiconductor Manufacturing Co. (TSMC) to issue an upward revision to its fourth-quarter revenue guidance. Gartner Dataquest therefore increased the 2002 foundry revenue forecast slightly, to 13.4 percent growth, for a total market size of $10.2 billion. A look at foundry fab utilization rates illustrates the roller coaster ride that was the foundry market in 2002. After reaching an unprecedented low of 42 percent in the third quarter of 2001, the overall foundry utilization rate climbed steadily as demand returned at the beginning of 2002, reaching a peak of 70 percent in the second quarter. The recovery was not sustainable, however, and utilization rates slid in the third and fourth quarters, bottoming out at 56 percent and resulting in a "double dip" profile. The first quarter of 2003 is expected to be essentially flat, with demand growth resuming in the second quarter and gaining momentum through the second half, pushing overall utilization rates into the 80 percent range by the end of the year. The leading-edge utilization rate is the primary trigger for foundry spending, and it tends to run higher than the overall average. Much less capacity is available at the leading-edge process technologies, defined as 0.18 micron and below, so small increases in demand have a large effect on utilization. Many of the new designs from fabless semiconductor companies and IDMs will go into production at these technologies during 2003. As the demand increases, foundries will expand capacity in an attempt to stay one step ahead, always having enough capacity to take advantage of market opportunities while avoiding overspending and driving down utilization to unprofitable levels. Because the foundries are sitting on unfilled fabs, some of which are 300-millimeter (mm) fabs, and equipment lead times are relatively short, capacity can be increased very quickly when demand growth resumes. The foundry industry has shown remarkable resilience during the worst downturn in the history of the semiconductor market, which is a testament to the strength of the fundamental shift to foundry manufacturing. In fact, the economic stresses of the downturn, and the resulting dislocations, are accelerating the trend toward outsourcing in the industry. Our long-term view of the foundry market remains positive, with foundry revenue growth outpacing the overall semiconductor market. In 2003, foundry revenue is forecast to grow 23.2 percent to reach $12.5 billion as the semiconductor market continues its recovery. By 2007, foundries will produce 34 percent of the world's chips, generating more than $28 billion in revenue from wafer sales. 2003 Gartner, Inc. and/or its Affiliates. All Rights Reserved. 1

2 YE02 Semiconductor Manufacturing Market: Foundry Services (Executive Summary) Forecast Scenario Fear and uncertainty reigned in the second half of 2002 as a direct result of the threat of war and a faltering economy. Concerns of a double-dip recession profile came true, and the economic malaise spilled over into 2003. IT spending will come back eventually but only after corporate profits return. Gartner Dataquest therefore remains cautious for 2003, although the second half of the year should bring increased corporate spending and the start of a semiconductor market recovery. We still expect 2003 to be a year of many transitions: From a consumer-driven to a corporate-driven demand recovery at the macroeconomic level From a slow-moving to a more normal cyclical recovery speed in semiconductor demand From a foundry-driven to a more broad-based capital spending pattern From technology investment to capacity expansion, with several large-scale 300-mm-fab projects Let us now consider some of the major forecast assumptions influencing growth in the foundry market. Dominant Market Accelerators The major forecast assumptions that will drive growth in the semiconductor foundry market include the following: Chip sales were essentially flat in 2002, with a somewhat disappointing fourth quarter. However, unit demand has accelerated more strongly because of pricing pressure, and stronger unit growth will fuel demand for foundry capacity. Leading-edge utilization is increasing rapidly. With more leading-edge designs being implemented, wafer prices are stabilizing, bolstering foundry revenue growth. Fablesssemiconductorcompanieswillcontinuetooutperformtheindustry, increasing their share of total semiconductor revenue from 10 percent in 2001 to 16 percent in 2007. Many IDMs now rely on foundries for leading-edge process technology, which is a more strategic orientation toward outsourcing than they have exhibited in the past. The mix of demand for foundry services is shifting to the leading-edge technologies of 180, 150 and 130 nanometer (nm). Since these leading-edge processes are priced at a premium, wafer average selling prices are increasing over time. The transition to 300-mm wafer processing is expected to yield a manufacturing cost savings of approximately 30 percent, further strengthening the foundries' core value proposition and stimulating demand for foundry services. Dominant Market Inhibitors The major forecast assumptions that could limit growth in the semiconductor foundry market include the following: Global gross domestic product (GDP) growth has remained weak. A recessionary macroeconomic environment would put an obvious damper on prospects for growth in the chip and foundry markets. 2003 Gartner, Inc. and/or its Affiliates. All Rights Reserved. 15 April 2003

YE02 Semiconductor Manufacturing Market: Foundry Services (Executive Summary) 3 Gartner Dataquest Perspective Indications of inventory buildup in the channel and at manufacturers point to a lack of demand pull-through in the supply chain. While the excess is significantly below 2001 levels, risk of depressed chip sales is possible, especially given the weak fourth-quarter results. Corporate spenders remain on the sidelines. Corporate budgets are set on an annual basis, and changing them to the upside is difficult. Until corporate profits return, increases in spending are not likely. The worldwide semiconductor market grew by only 0.6 percent in 2002, and the forecast is for 8.9 percent growth in 2003. Overall foundry capacity utilization remains low, despite higher utilization rates for leading-edge process technologies. Slow demand growth and premature capacity expansion could prolong the oversupply condition, leading to pricing pressure and depressed revenue growth. IDM outsourcing is adversely affected in the short term by depressed capacity utilization rates. Some IDMs will shift production to internal fabs in an attempt to minimize losses during this period of weak demand. In its earlier days, the foundry market seemed immune to the wild ups and downs of the semiconductor industry. Sure, foundries experienced the cycles of over- and undersupply, but a downturn just meant low growth, or maybe no growth, for a year or so. We all know that this downturn is different, and the foundries are feeling the pressure. Foundry profit margins, which have always been subject to the dynamics of supply and demand, have been trending down over the past 10 years. The situation has become so critical that some foundries are questioning how they will raise the capital needed to invest in new capacity. Investing in new capacity is the name of the game in the foundry business, and the foundries that want to survive in this market will find a way to do it. Meeting the initial surge of demand that will inevitably come should not be too difficult. There are 300-mm fabs already running at low capacity levels that can be ramped up quickly, and lead times at the equipment vendors are generally low. The problem will arise when the second wave of demand arrives, probably sometime in the second half of 2004. Then, as in the past, new fab construction will be needed to satisfy the demand. Those greenfield fabs would have to be under construction now to contribute productive capacity in the second half of 2004. The initial phase of the recovery will be demand-driven, and it should begin in the second half of 2003 as corporate IT spending starts to come back. In 2004, the foundry market is likely to see significant shortages develop for leadingedge process technologies (0.13 micron and below), particularly in the second half of the year. This situation will return pricing power to the foundries, increase profit margins and enable the foundries to invest in new capacity. Of course, the capacity will not be available until sometime in late 2005 to 2006, creating an undersupply of capacity and the perfect conditions for a semiconductor boom cycle. For the full report, see the Gartner Dataquest Focus Report, "YE02 Semiconductor Manufacturing Market: Foundry Services" (SCEM-WW-FR-0154). 2003 Gartner, Inc. and/or its Affiliates. All Rights Reserved. 15 April 2003