Midyear 2002 Semiconductor Manufacturing Market: Foundry (Executive Summary) Executive Summary

Similar documents
YE02 Semiconductor Manufacturing Market: Foundry Services (Executive Summary) Executive Summary

Semiconductor Market for Data Processing: Asia/Pacific, 3Q03

4Q03 Update: Global Semiconductor Forecast Scenarios

1Q03 Scenarios: Semiconductor Capital and Equipment Spending

IP Backbone Opportunities in Asia/Pacific (Executive Summary) Executive Summary

DBMS Software Market Forecast, (Executive Summary) Executive Summary

4Q02 Update: Disk Storage Forecast Scenarios,

4Q03 Update: Wireless Communications Semiconductor Forecast,

DRAM Forecast Outlook, 3Q03: Price Rises Force Forecast Up

Global Telecommunications Market Take, 1Q03 (Executive Summary) Executive Summary

Firewall and IP Virtual Private Network Equipment: Worldwide, 2002 (Executive Summary) Executive Summary

4Q03 Update: Wired Communications Semiconductor Forecast,

2002 Semiconductor Equipment Market Share Analysis (Executive Summary) Executive Summary

Fixed Public Services Trends in CEE and MEA, (Executive Summary) Executive Summary

SOHO and Residential Routers: Worldwide Market Share and Forecast, (Executive Summary) Executive Summary

Current and Next-Generation Switching in Asia/Pacific and Japan, 2003 (Executive Summary) Executive Summary

Wireless Local Loop: Cellular in Waiting? (Executive Summary) Executive Summary

Worldwide Workstation Shipments Rebound in Third Quarter

Vertical Market Trends: Western Europe, (Executive Summary) Executive Summary

Worldwide Memory Forecast, 1Q03 (Executive Summary) Executive Summary

Mobile Terminals: Middle East, (Executive Summary) Executive Summary

FICON Drives Fibre Channel Security

Midsize Business Voice Service Spending Steady for 2003

Mobile Phones, Poor Economy to Dampen PDA Market to 2007

IT Services: Identifying the Addressable Markets for Telecom Operators (Executive Summary) Executive Summary

Worldwide 2002 Security Software Market and Vendor Shares (Executive Summary) Executive Summary

Leased-Line Market Thrives in Asia/ Pacific Despite Bandwidth Glut (Executive Summary) Executive Summary

Mobile Terminals: Western Europe, (Executive Summary) Executive Summary

Huawei: China's Leading Equipment Vendor Returns to Growth

Asia/Pacific and Japan: Optical Network Equipment Market, (Executive Summary) Executive Summary

AIOs Displace Single-Function Printers in Latin America

Worldwide Memory Forecast, 4Q03 (Executive Summary) Executive Summary

4Q03 Update: Data Processing Semiconductor Forecast,

Production Surge Boosts Automotive Semiconductor Market

Trends in Fixed Public Network Services: Austria, (Executive Summary) Executive Summary

Leveraging China's Memory Market Opportunity

External RAID-Based Storage System Analysis by Form Factor

Trends in Fixed Public Network Services: Finland, (Executive Summary) Executive Summary

Central and Eastern Europe: Premises Switching Equipment Market Share, 2002 (Executive Summary) Executive Summary

Public Infrastructure: What s in Store for 2003?

Semiconductor Manufacturing Market Outlook: Fundamentals Point to Growth

4Q02 Update: Data Processing Forecast Scenarios,

Trends in Fixed Public Network Services: Germany, (Executive Summary) Executive Summary

Final 2002 Asia/Pacific Semiconductor Market Share by Geographical Area (Executive Summary) Executive Summary

NGN: The Evolution of Wireless Networks

IT Services' IP Telephony-Related Growth Remains Strong Through 2007 (Executive Summary) Executive Summary

Network-Attached Storage Market Shows Weakness

Sizzles and Fizzles in the Server Forecast

NGN: Enterprise IP Telephony

Spending on Service Provider Routers Begins to Grow in EMEA

HDD Head and Media Markets Show Variable Growth and Decline, (Executive Summary) Executive Summary

Europe Wants Security Software, Despite Tight Budgets (Executive Summary) Executive Summary

Finding Pure-Play Midtier ESPs: A Two-Step Process

Hardware Decisions for Embedded Systems Design in Asia/Pacific

Host-Bus RAID Controller Worldwide Market Share, 2002 (Executive Summary) Executive Summary

Survey of Mobile Phone Users in Poland and Russia, 2003 (Executive Summary) Executive Summary

Little Smart, Big Plans: PHS Storms Ahead in China

Taiwan Semiconductor Manufacturing Company Ltd. Announces First Quarter Results for the Period Ended March 31, 2000

Mobile Java: A New Opportunity for Data Services (Executive Summary) Executive Summary

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager Industry Research & Statistics, SEMI

ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November Warren East Chief Executive Officer

Midsize Businesses Slowly Warm Up to Storage Services

Asia/Pacific: Systems Consolidation, Hype or Reality?

PC Manufacturing Is Surviving SARS-Induced Market Fears in China

Semiconductor Market Outlook. Analog Semiconductor Leaders' Forum October 2011

Continuing Weakness in Public Infrastructure Markets

RESEARCH BULLETIN MARCH 27, 2013

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager, SEMI Vietnam Semiconductor Strategy Summit September 16-17, 20214

TCPN-WW-CV-0102 Frank Fabricius

Conference Call Second Quarter 2003 Financial Results. Jorma Ollila Chairman and CEO

China: User Perceptions and Plans for PCs and PDAs in 2003

Many Challenges Remain for Mobile Communications

Latin American PC Industry, 2Q02 (Executive Summary) Executive Summary

Credit Suisse European Technology Conference

Kaufman Brothers 13 th Annual Investor Conference

Choices Multiply in Midrange and High-End Color Printing

Tech Data s Acquisition of Avnet Technology Solutions

Samsung Electronics Announces Fourth Quarter & FY 2015 Results

2Q03 Update: Data Processing Semiconductor Forecast,

Market Scope. Magic Quadrant Methodology

Asia/Pacific: Embedded Systems Design, Software Decisions

As a note, this presentation was released as the dates shown and reflected management views as of these dates. All information in this presentation

NGN: Carriers and Vendors Must Take Security Seriously

Archive 2017 BiTS Workshop- Image: Easyturn/iStock

ON SEMICONDUCTOR CORPORATION CALL SCRIPT FOR Q3-18 RESULTS CONFERENCE CALL

3. Results by Business Segment

UBS 42 nd Annual Global Media and Communications Conference December 8, Leigh Fox, CFO

Change & Configuration Management Market

The Drives and Dives of the Semiconductor Market

IFX Day Short-Term Semiconductor Market Outlook and Long-Term Industry Trends. Dieter May VP Corporate Strategy. Munich September 22, 2003

Consensus Outlook 2018 and 2019

Samsung Electronics Announces Fourth Quarter & FY 2017 Results

Samsung Electronics Announces First Quarter Results

Forward-Looking and Cautionary Statements

U.S. Telecom Market's Future Depends on Carrier Capex

Samsung Electronics Announces Third Quarter 2017 Results

China and Global Semiconductor Industry Update and Outlook

Contents. Semiconductor DQ Monday Report Issue 20

SEMICO Res e arch Corporation

TABLE OF CONTENTS III. Section 1. Executive Summary

Transcription:

Midyear 2002 Semiconductor Manufacturing Market: Foundry (Executive Summary) Executive Summary Publication Date: August 27, 2002

Author James Hines This document has been published to the following Cluster codes: SEMC-WW-EX-0158 For More Information... In North America and Latin America: +1-203-316-1111 In Europe, the Middle East and Africa: +44-1784-268819 In Asia/Pacific: +61-7-3405-2582 In Japan: +81-3-3481-3670 Worldwide via gartner.com: www.gartner.com Entire contents 2002 Gartner, Inc. All rights reserved. Reproduction of this publication in any form without prior written permission is forbidden. The information contained herein has been obtained from sources believed to be reliable. Gartner disclaims all warranties as to the accuracy, completeness or adequacy of such information. Gartner shall have no liability for errors, omissions or inadequacies in the information contained herein or for interpretations thereof. The reader assumes sole responsibility for the selection of these materials to achieve its intended results. The opinions expressed herein are subject to change without notice. 109452

Midyear 2002 Semiconductor Manufacturing Market: Foundry (Executive Summary) Forecast Overview The semiconductor foundry market is made up of specialized contract manufacturers (foundries) that perform wafer fabrication services for fabless semiconductor companies, integrated device manufacturers (IDMs) and system OEMs. In the majority of cases, the customer creates a design for an IC that is transmitted to the foundry by way of GDS-II tapes (or equivalent). The foundry fabricates the IC on silicon wafers that are then delivered to the customer or to another contract manufacturer for packaging, assembly and testing. The foundry market has shown definite signs of improvement in the first two quarters of 2002. Following a decline of 33 percent to about $9 billion in worldwide revenue in 2001, orders for foundry wafers began to materialize at the end of that year. This resulted in sequential growth of sales in the first quarter of 2002 of 8 percent for Taiwan Semiconductor Manufacturing Co. (TSMC) and 11 percent for Chartered, while United Microelectronics Corp. (UMC) posted a decline of 13 percent. The positive trend in wafer demand has continued, and all three of the leading foundries posted sequential increases in sales for the second quarter: 23 percent for TSMC, 53 percent for UMC and 51 percent for Chartered. The growth in demand has been driven primarily by strength in the consumer and wireless sectors, while wired communications and PC applications have remained relatively weak. Looking to the remainder of 2002, Gartner Dataquest expects the foundry market recovery to proceed at a moderate pace. Foundry customers are seeing increasing improvements in their business outlook, and inventories have been drawn down to more manageable levels. Although there is no clearly identifiable "killer application" to drive exceptional growth rates in the industry, it appears that more-moderate growth over a broad range of consumer, communications and computing applications will push foundry demand forward. As demand catches up with capacity across the semiconductor industry, IDMs will once again increase their foundry outsourcing, especially for production at leading-edge process technologies. Based on these factors, the foundry market is forecast to grow 9 percent in 2002, reaching worldwide revenue of about $9.8 billion. The upturn in wafer demand during the first half of 2002 has improved the foundry capacity utilization picture considerably, although it has not yet returned to what we would consider a healthy level. After reaching an unprecedented low of 42 percent in the third quarter of 2001, the overall utilization rate has climbed steadily, reaching an estimated 67 percent in the second quarter of 2002. However, overall utilization does not tell the whole story. Many of the designs that went into production early in 2002 are based on the leadingedge process technologies of 180 nanometer (nm) and below, and the installed capacity available is limited. The utilization rates of leading-edge fabs are much higher than the average; TSMC has estimated that leading-edge capacity utilization will exceed 90 percent in the second quarter of 2002. To meet the demand, foundries are increasing their capital expenditure for leading-edge process technology, but the possibility of slow growth in the second half of 2002 introduces some risk that these spending budgets could be tightened again. 2002 Gartner, Inc. 1

2 Midyear 2002 Semiconductor Manufacturing Market: Foundry (Executive Summary) Forecast Scenario Overall foundry capacity utilization is projected to reach 78 percent in the fourth quarter of 2002, but it is not until early 2003 that utilization will climb above 80 percent. At this level, with its associated stable prices and higher profits, the foundries will embark on another spending boom, driving a major capacity expansion in the industry with several new 300-millimeter (mm) fab projects. Looking a little further out, the same dynamics that have driven the foundry/fabless model concentration of capital and capacity, diversification of capital risk, division of research and development effort will push fab outsourcing to even higher levels. With these fundamental trends driving it, the foundry market will continue to outpace semiconductor industry growth, with a forecast compound annual growth rate (CAGR) of more than 21 percent from 2001 through 2007, reaching worldwide revenue of almost $29 billion. Entering 2002, the macroeconomic environment had initially spurred hopes for a strong and speedy recovery, but the highly fluid and unpredictable economic picture rapidly doused such hopes with the real possibility of a "double dip" recession profile. All along, Gartner Dataquest had been modeling a moremodest demand picture. Six months ago, we urged caution, noting that the speed of the recovery will be slower initially than in prior cycles. This scenario appears to be playing out. Recent instability in the financial markets and slow growth in electronic equipment sales have increased the uncertainty and risk to the forecast. Gartner Dataquest therefore remains cautious for 2002, although the year should bring the start of a semiconductor market recovery. We expect 2003 to be a year of many transitions: From a consumer-driven to a corporate-driven demand recovery at the macroeconomic level From a slow-moving to a more-normal cyclical recovery speed in semiconductor demand From a foundry-driven to a more-broad-based capital spending pattern From technology investment to capacity expansion, with several large-scale 300-mm fab projects Let us now consider some of the major forecast assumptions influencing growth in the foundry market. Dominant Market Accelerators The major forecast assumptions that will drive growth in the semiconductor foundry market are as follows: Chip sales grew about 5 percent sequentially in both the first quarter and the second quarter of 2002. However, unit demand has accelerated more strongly because of pricing pressure, and stronger unit growth will fuel demand for foundry capacity. Leading-edge utilization is increasing rapidly. With more leading-edge designs being implemented, wafer prices are stabilizing, bolstering foundry revenue growth. Fablesssemiconductorcompanieswillcontinuetooutperformtheindustry, increasing their share of total semiconductor revenue from 10 percent in 2001 to 16 percent in 2007. Many IDMs now rely on foundries for leading-edge process technology, which is a more strategic orientation toward outsourcing than they exhibited in the past.

Midyear 2002 Semiconductor Manufacturing Market: Foundry (Executive Summary) 3 The mix of demand for foundry services is shifting to the leading-edge technologies of 180, 150 and 130 nm. Because these leading-edge processes are priced at a premium, wafer average selling prices are increasing over time. The transition to 300-mm-wafer processing is expected to yield a manufacturing cost savings of about 30 percent, further strengthening the foundries' core value proposition and stimulating demand for foundry services. Dominant Market Inhibitors The major forecast assumptions that could limit growth in the semiconductor foundry market are as follows: Talk of a double dip in the quarterly GDP growth pattern has not subsided. A recessionary macroeconomic environment would put an obvious damper on prospects for growth in the chip and foundry markets. Indications of inventory buildup in the channel and at manufacturers point to a lack of demand pull-through in the supply chain. While the excess is significantly below 2001 levels, there is risk of depressed chip sales, especially if the holiday season in some regions is weak. Corporate spenders remain on the sidelines. Corporate budgets are set on an annual basis, and it takes a miracle to change them to the upside. Today's environment, with a beaten-down stock market and a confidence crisis in corporate America, is clearly not conducive to any such miracle in the near term. The worldwide semiconductor market, which declined by 33 percent to reach $152 billion in 2001, is forecast to grow by only 3 percent in 2002. Overall foundry capacity utilization remains low, despite relatively tight capacity for leading-edge process technologies. Slow demand growth and premature capacity expansion could prolong the oversupply condition, leading to pricing pressure and depressed revenue growth. IDM outsourcing is adversely affected in the short term by depressed capacity utilization rates. Some IDMs will shift production to internal fabs in an attempt to minimize losses during this period of weak demand. Semiconductor Foundry Market Forecast Tables 1 and 2 present the forecast of foundry revenue, segmented by type of customer and by geographical region. Table 1 Foundry Revenue by Customer Type (Millions of Dollars) Fabless 5,232 5,875 8,673 11,602 10,639 12,043 15,648 20.0 IDM 3,217 3,427 5,458 8,048 7,476 8,100 11,341 23.4 System OEM 526 490 822 1,254 1,054 1,172 1,723 21.9 Total 8,975 9,792 14,953 20,904 19,169 21,316 28,712 21.4

4 Midyear 2002 Semiconductor Manufacturing Market: Foundry (Executive Summary) Gartner Dataquest Perspective Table 2 Foundry Revenue by Region (Millions of Dollars) North America 5,427 5,826 8,972 12,856 11,885 13,003 17,802 21.9 Japan 887 930 1,346 1,777 1,534 1,599 2,010 14.6 Europe 964 1,175 1,645 2,299 2,109 2,558 3,445 23.7 Asia/Pacific 1,697 1,861 2,991 3,972 3,642 4,157 5,455 21.5 Total 8,975 9,792 14,953 20,904 19,169 21,316 28,712 21.4 Tables 3 and 4 present the forecast of foundry wafer shipments, segmented by type of customer and by geographical region. Table 3 Foundry Wafer Shipments by Customer Type (Millions of 8-Inch Equivalents) Fabless 3.37 3.90 5.65 7.20 6.73 7.47 9.43 18.7 IDM 2.73 3.00 4.69 6.59 6.24 6.63 9.06 22.1 System OEM 0.45 0.43 0.71 1.03 0.88 0.96 1.38 20.6 Total 6.55 7.34 11.05 14.81 13.86 15.07 19.87 20.3 Note: Some columns do not add to totals shown because of rounding. Table 4 Foundry Wafer Shipments by Region (Millions of 8-Inch Equivalents) North America 3.90 4.31 6.54 8.93 8.42 8.99 12.01 20.6 Japan 0.64 0.68 0.98 1.26 1.11 1.13 1.39 13.8 Europe 0.70 0.87 1.19 1.64 1.53 1.83 2.43 23.2 Asia/Pacific 1.32 1.48 2.34 2.99 2.80 3.13 4.05 20.5 Total 6.55 7.34 11.05 14.81 13.86 15.07 19.87 20.3 Note: Some columns do not add to totals shown because of rounding. It should come as no surprise that the foundry market is leading the semiconductor industry into recovery. The diverse range of products and customers served by the foundries provides a hedge against the severe volatility that characterizes certain segments of the semiconductor market. Also, the foundries occupy a position at the origin of the electronics supply chain, so naturally they will be the first to see orders as chip makers and their downstream customers start to gear up in anticipation of a new surge in demand for electronic products.thispointalsoleadsustotheburningquestionfromthefoundryperspective: When will this demand surge arrive, and how big will it be?

Midyear 2002 Semiconductor Manufacturing Market: Foundry (Executive Summary) 5 The big changes in the industry are rarely predicted, and when they are, such predictions go largely unheeded. New, chip-hungry applications tend to sneak up on us, catching us off guard and sending the industry into a fab-building frenzy in an effort to meet the demand. Today, the semiconductor industry is waiting for that next application to drive demand growth, but it has not yet emerged. Clearly, it is not PCs, a market that has been stagnating for some time. Digital cellular handsets are reaching the saturation point in many markets, and upgrades are difficult to justify when the form and function of the current generation of products already meet most users' needs. Several new and interesting consumer products offer a glimmer of hope for the holiday season, but it is hard to imagine this sector driving semiconductor revenue growth, with its extreme price sensitivity and relatively low semiconductor content in dollar terms. For the time being, it appears that the chip market will have to do without a killer application, and this means moderate growth across a range of applications for the foundry market. Ultimately, the return of corporate IT spending and the continued build-out of Internet infrastructure are the most-likely candidates for driving semiconductor demand through the next cycle. Given the uncertain macroeconomic outlook and the current crisis of corporate confidence in America, it may be awhile before these steps are taken. For this reason, we remain cautious in our outlook for the foundry market in 2002, despite its auspicious beginnings. We look to 2003 for a surge in demand for foundry services, driven primarily by data communications and networking applications, that will continue through 2004. Gartner Dataquest recommends that foundries and their customers prepare for the future by continuing to invest in technology and new product designs, but be wary of overcommitting to capacity additions until a sustainable demand trend is apparent. For the full report, see the Gartner Dataquest Focus Report, "Midyear 2002 Semiconductor Manufacturing Market: Foundry" (SCEM-WW-FR-0136).