Low Power SRAM Design with Reduced Read/Write Time

Similar documents
Design of Low Power SRAM in 45 nm CMOS Technology

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool

Column decoder using PTL for memory

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

Performance Enhancement Guaranteed Cache Using STT-RAM Technology

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology

250nm Technology Based Low Power SRAM Memory

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Design and verification of low power SRAM system: Backend approach

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

Implementation of DRAM Cell Using Transmission Gate

Design of 6-T SRAM Cell for enhanced read/write margin

Low Power and Improved Read Stability Cache Design in 45nm Technology

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY

1073 P a g e 2. LITERATURE REVIEW OF DIFFERENT SRAM CELLS

A Single Ended SRAM cell with reduced Average Power and Delay

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

A Novel Architecture of SRAM Cell Using Single Bit-Line

8.3.4 The Four-Transistor (4-T) Cell

Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power

A Comparative Study of Power Efficient SRAM Designs

Design of Read and Write Operations for 6t Sram Cell

Survey on Stability of Low Power SRAM Bit Cells

High-Performance Full Adders Using an Alternative Logic Structure

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta *

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article

A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context.

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

Integrated Circuits & Systems

CENG 4480 L09 Memory 2

LOW- POWER ANALYSIS OF VARIOUS 1-BIT SRAM CELLS USING SPICE

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Design of Low Power Wide Gates used in Register File and Tag Comparator

Modeling and Design of high speed SRAM based Memory Chip

Analysis of 8T SRAM Cell Using Leakage Reduction Technique

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,

Very Large Scale Integration (VLSI)

A Low Power SRAM Base on Novel Word-Line Decoding

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES

THE latest generation of microprocessors uses a combination

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Prototype of SRAM by Sergey Kononov, et al.

LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool

Unleashing the Power of Embedded DRAM

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman.

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

MEMORIES. Memories. EEC 116, B. Baas 3

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

PICo Embedded High Speed Cache Design Project

Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology

Efficient Current Mode Sense Amplifier for Low Power SRAM

SRAM Memory Layout Design in 180nm Technology

A REVIEW ON LOW POWER SRAM

Design of Low Power 5T-Dual Vth SRAM-Cell

Highly Reliable Radiation Hardened Memory Cell for FINFET Technology

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

Memory Classification revisited. Slide 3

A Low Power SRAM Cell with High Read Stability

Australian Journal of Basic and Applied Sciences. Design and Analysis of SRAM Cell for Ultra Low Voltage Deviation

Design and Analysis of 8T/10T SRAM cell using Charge Recycling Logic

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

Lecture 11: MOS Memory

CS250 VLSI Systems Design Lecture 9: Memory

Minimizing Power Dissipation during Write Operation to Register Files

Improved Initial Overdrive Sense-Amplifier. For Low-Voltage DRAMS. Analog CMOS IC Design. Esayas Naizghi April 30, 2004

Memory in Digital Systems

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

Power Gated Match Line Sensing Content Addressable Memory

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

Low-Power SRAM and ROM Memories

Optimized CAM Design

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES

Research Scholar, Chandigarh Engineering College, Landran (Mohali), 2

Static Noise Margin Analysis of SRAM Cell for High Speed Application

DESIGN OF LOW POWER 8T SRAM WITH SCHMITT TRIGGER LOGIC

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

VLSI design project, TSEK01

Transcription:

International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 3 (2013), pp. 195-200 International Research Publications House http://www. irphouse.com /ijict.htm Low Power SRAM Design with Reduced Read/Write Time Shivani Yadav, Neha Malik, Ashutosh Gupta and Sachin Rajput Dept. of ECE, Amity University Noida Sector-125, India. Dept. of ECE, Amity University Noida Sector-125, India. Abstract This paper explores the design and analysis of Static Random Access Memories (SRAMs) which focusses on optimizing delay and power. CMOS SRAM cell consumes very less power and have less read and write time. Higher cell ratios can decrease the read and write time and improve stability. PMOS transistor with less width reduces the power consumption. In this paper, 6T SRAM cell is implemented with reduced read and write time, delay and power consumption. It has been noticed often that increased memory capacity increases the bit-line parasitic capacitance which in turn slows down voltage sensing, to avoid this problem use optimized scaling techniques and further, get improve performance of the design. Keywords: Six transistor SRAM, Delay, Power, Aspect ratio. 1. Introduction Low power SRAMs have become a critical component of many VLSI chips. This is especially true for microprocessors, where the on-chip cache sizes are growing with each generation to bridge the increasing divergence in the speeds of the processor and the main memory [P. Barnes2010, S. Hesley, et.al, 2009]. Due to the increased integration and operating speeds, power dissipation has become an important consideration both as well as due to the explosive growth of battery operated appliances. There are two purposes of an SRAM (Static Random Access Memory) design: First is to provide a direct interface with the CPU at speeds not attainable by DRAMs and second is to replace DRAMs in systems that require very low power consumption. In the first role, the SRAM serves as cache memory, interfacing between DRAMs and the CPU. The second driving force for SRAM technology is low power applications. In

196 Shivani Yadav et al this case, SRAM are used in most portable equipment because the DRAM refresh current is several orders of magnitude more than the low-power SRAM standby current. We started to design SRAM with two objectives, first to lower the operating voltage from 3V to 1.8V. Second to reduce active and static power consumption for battery operated application. But it has been observed that the stability of memory is seriously affected by the decrease in supply voltage (V dd ) [Evelyn Grossar 2006]. By optimizing the aspect ratio of the memory and improving the pre-charge circuitry we can achieve these goals. For a stable operation of SRAM, cell ratio must be in order to 1.5-2, along with the pull up ratio lower than the 1.8. These ratios are standard for 0.25u technology [Jan M. Rabaey 2003]. In 0.18u technology these ratio is varied for getting desired performance. One new technique is used for reducing the power of the memory cell. Although, this technique is discussed in [Stefan Cosemans 2007], some other work also been published in literature [Kenneth W.Mai, 1998,K.Takeda et.al.,2006] for low power and High Speed SRAM. But most of the work is done on peripheral circuitry for reducing the power and increasing the speed. According to the First it shows the designing of 6T SRAM cell with conventional bit-lines, than 6T with short buffered bit-line is presented for reducing both power consumption and delay. Thirdly the stability of cell is accurately measured while this work 2. Methdology A CMOS SRAM cell is made up of six MOSFETS which has lower power consumption in standby mode and a greater immunity to transient noise and voltage variation than 4T resistive load cell just because it is preferred over resistive load cell for high speed low power operation. The storage cell has two stable states denotes by 0 and 1. Other than two inverters two additional access transistors serve to control the access to a storage cell during read and write operations. Access to the cell is enabled by the word line (WL) which controls the two access transistors M5 and M6 which, inturn, control whether the cell should be connected to the bit lines: BL and BLB. Although it is not strictly necessary to have two bit-lines, global bit-line arrangement is helpful to maintain the stability of the cell and reduce the voltage swing which have initial impact on the power dissipation of the cell. Another advantage is, it reduce the complexity of the SRAM cell. During read access, Pre-charge circuitry is used for differential sense amplifier at the end of the bit-line which are actively driven high and low by the inverters in the SRAM cell. This improves SRAM bandwidth compared to DRAMs. The symmetric structure of SRAM allows for differential signalling, which makes small voltage swings more easily detectable. Cell has three different states:

Low Power SRAM Design with Reduced Read/Write Time 197 Standby: Idle circuit If the word line is not active then M5 and M6 disconnect the cell from the bit lines and the two cross coupled inverter will continue to reinforce each other as long as they are connected to the supply. Reading: Data has been requested It totally depends on pre-charging concept, as both the bit-lines and word line are active high. Sometimes a small delta delay is occurred across the bit-lines which will resolved by attaching a sense amplifier at the end of the cell. The higher the sensitivity of sense amplifier, the faster the speed of read operation. Writing: Updating the contents Whatever the value we want to written same value is applied to the bit-lines. Bit-line input drivers are designed to be much stronger than the relatively weak transistors in the cell itself, so that they can easily override the previous state of the cross coupled inverters. Careful sizing of the transistors in an SRAM is needed to ensure proper operation. Fig. 1: 6T SRAM cell. Fig 2: Read Operation

198 Shivani Yadav et al Fig. 3: Write Operation. Optimum sizing [S. Subbanna 2006, G.G. Shahidi, et al 2010] is required for various factors like minimum delay, power, vary aspect ratio (W/L ratio) [K. Itoh 2006, A.P. Chandrakasan et al. 2009]. Delay analysis also been done by varying the capacitances. 3. Results Stable Data retention remains the main objective when designing a cell and to maintain it aspect ratio of each transistor in the gain factor is varied. On varying capacitance values and aspect ratio, we get a minimum delay as well as less power consumption. Table 1: Simulation results after varying aspect ratio keeping capacitance constant Aspect ratio of MOSFET Effect on parameters NMOS (W/L) PMOS (W/L) C cap Value Delay Factor(t d ) 1 µ 2 µ 1pf 0.025ns 2 µ 4µ 1pf 0.021ns 4 µ 8 µ 1pf 0.016ns

Low Power SRAM Design with Reduced Read/Write Time 199 Table 2: Effect of capacitance variation on Delay factor. NMOS PMOS C cap Delay (W/L) (W/L) Value Factor 1 µ 2 µ 5pf 0.011ns 4 µ 8 µ 10pf 0.009ns Fig. 4: Waveform of the cell. 4. Conclusion and Future Scope The SRAM is designed for high speed operation, with low power technique by using small voltage swings on the bit-lines during write operation. Cell is being modified such that it is used as a latch type sense amplifier to amplify and store the small swing write data presented on the bit-lines which reduces power and delay factor. Parameters Result from Result obtained from Literature survey x Proposed design Process Technology 0.25um 180nm Power supply voltage 2.5v 1.8v Pre-charge voltage 0.9v 1v Power consumption 3.4mW 3.146mW Delay 3.4ns 2.ns x Results are taken from the design described in[bharadwaj S. Amrutur 1999].

200 Shivani Yadav et al Scaling will affect delay and power of SRAMs as a function of their size and technology. Simple analytical models for delay are used to explore range of design possibilities. In future, lekage current will play a vital role to reduced power consumption. By creating a layout of own transistors from standard library of cadence tool, cell area will be reduced significantly. References [1] A.P. Chandrakasan(April2009),Article in IEEE Journal of Solid State circuits, Low-Power CMOS Digital Design, vol.27,no.4.p.473-48. [2] Bharadwaj S. Amrutur( August 1999) thesis on Design and Analysis of Fast Low Power SRAMs. [3] Evelyn Grossar, Michele Stucchi, Karen Maex and Wim Dehaene(Nov.2006),Article in IEEE J. Solid State Circuits, Read Stability and Write-Ability Analysis of SRAM Cells for Nanometer Technologies pp.2577-2588,. [4] G.G. Shahidi, et al Feb2010, Partially-depleted SOI technology for digital logic, ISSCC Digest Technical Papers,pp.426-427. [5] Jan M. Rabaey, Anantha Chandrakasan, Borivoje Nikolic(2003), Digital Integrated Circuit And Design Perspective second addition,prentice Hall electronics and VLSI series. Berkley Calistoga. [6] K. Itoh, A.R. Fridi, A. Bellaouar and M.I. Elmasry(2006),Article in Symposium on VLSI Circuits Digest of Technical Papers A deep sun-v, single power-supply SRAM cell with multi-vt boosted storage node and dynamic load,pp.132-133. [7] K.Takeda, Y,Hagihara Y.Aimoto, M.Nomura, Y.Nakazawa,T.Ishii, and H.Kobatake(Jan2006),Article in IEEE J.Solid State circuits, A Readstaticnoise-margin-free SRAM cell for low-vdd and high-speed applications, vol.41,no.1,pp.13. [8] Kenneth W.Mai, Toshihiko Mori, Bharadwaj S. Amrutur, Ron Ho, Bennett Wilburn, Mark A. Horowotz, Isao Fukushi,Tetsuo Izawa,and Shin Mitarai(Nov.1998),Article in IEEE, Low-Power SRAM Design Using HalfSwing Pulse-Mode Techniques,vol.33,no.II,pp 1659-1669. [9] P. Barnes, Wim Dehaene and Francky Catthoor(July 2007), Article in IEEE, A Low Power Embedded SRAM for Wireless Applications,vol.42,no.7,pp.1607-1610. [10] S. Hesley, ( April 2002),Article in IEEE, A 7 th Generation x86 Special issue on low power electronics vol.83,no.4.