Clock Tree Design Considerations

Similar documents
Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs

IDT TIMING SOLUTIONS. Integrated Device Technology

IDT for FPGAs CLOCKS AND TIMING INTERFACE AND CONNECTIVITY MEMORY AND LOGIC POWER MANAGEMENT RF PRODUCTS

From Complicated to Simple with Single-Chip Silicon Clock Generation

Silicon Labs. Timing Solutions for Xilinx FPGAs. Timing Simplified

Clock and Timing Solutions

ANTC205. Introduction

SLC ultra low jitter Clock Synthesizer 2 MHz to 7 GHz

Timing for Optical Transmission Network (OTN) Equipment. Slobodan Milijevic Maamoun Seido

Timing Solutions Overview

Features. o HCSL, LVPECL, or LVDS o Mixed Outputs: LVPECL/HCSL/LVDS. o Ext. Industrial: -40 to 105 C o o. o 30% lower than competing devices

CLEARCLOCK POWER OPTIMIZED 0.12ps 5x7mm XO

Si5380 Reference Manual

ZL40218 Precision 1:8 LVDS Fanout Buffer

SONET/ SDH 10G. Core Packet Network SONET/ SDH SONET/ SDH 10G 3G/ LTE. Figure 1. Example Network with Mixed Synchronous and Asynchronous Equipment

Field-Proven, Interoperable, and Standards-Compliant Portfolio

Field-Proven, Interoperable & Standards-Compliant Portfolio

Termination Options for Any-Frequency Si51x XOs, VCXOs

VERSACLOCK 4.1 USER GUIDE FOR MICROSOFT WINDOWS

Wireless Infrastructure Solutions to Enhance the Digital Media Experience

Si5345, Si5344, Si5342 Rev. D Family Reference Manual

Translate HCSL to LVPECL, LVDS or CML levels Reduce Power Consumption Simplify BOM AVL. silabs.com Building a more connected world. Rev. 0.

Selector Switch SDA. Pin 1. SCL Pin 2. I2C Bus. Pin 7. Pin 8. Pin 1. Pin 2. Pin 7 Pin 8. Pin 1 Pin 2. Pin 7 Pin 8

Figure 1. Traditional Biasing and Termination for LVPECL Output Buffers

SY89610L. General Description. Features. Applications MHz to 694MHz Jitter Attenuator and Low Phase Noise Frequency Synthesizer

Features. Applications

ZL Output Any Frequency Timing Card PLL with Ultra-Low Jitter Product Brief

Implementing LVDS in Cyclone Devices

ZL40223 Precision 2:8 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE

ASNT8050-PQB GHz and GHz Programmable PLL with integrated VCOs

Si5344H, Si5342H Family Revision C and D Reference Manual

CPU. PCIe. Link. PCIe. Refclk. PCIe Refclk. PCIe. PCIe Endpoint. PCIe. Refclk. Figure 1. PCIe Architecture Components

Clock Distribution Overview

Fractional N PLL GHz

DSC Q0093. General Description. Features. Applications. Block Diagram. Crystal-less Configurable Clock Generator

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL

Low Jitter Programmable & Selectable SPXO with LVPECL Output

PI6LC48L0201A 2-Output LVDS Networking Clock Generator

ESD Sensitive. Parameters Minimum Typical Maximum Units Notes ppm. Parameters Minimum Typical Maximum Units Notes

Optimal Management of System Clock Networks

PI6C GHz 1:4 LVPECL Fanout Buffer with Internal Termination GND Q0+ Q0- REF_IN+ V TH V REF-AC REF_IN- Q1+ Q1- Q2+ Q2- Q3+ Q3- VDD.

CARDINAL COMPONENTS, INC.

Axcelerator Family FPGAs

PI6C GND REF_IN+ V TH V REF-AC REF_IN- Q0+ Q0- Q1+ Q1- VDD. 6 GHz / 12 Gbps Clock / Data Fanout Buffer with Internal Termination.

ASNT1016-PQA 16:1 MUX-CMU

CARDINAL COMPONENTS, INC.

T1/E1 CLOCK MULTIPLIER. Features

EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs. S Inputs. S Outputs

10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC)

Si5332 Data Sheet. 6/8/12-Output Any-Frequency Clock Generator

SM General Description. Features. Block Diagram. ClockWorks TM 125MHz LVDS / 125 MHz HCSL Ultra-Low Jitter Frequency Synthesizer

VCXO Performance Tradeoffs in PLL Designs

Pin Configuration and Selector Guide appear at end of data sheet. Typical Operating Circuits

PERFORMANCE PLASTIC PACKAGE ULTRA MINIATURE PURE SILICON TM CLOCK OSCILLATOR

10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

DSC2033. Low-Jitter Configurable Dual LVDS Oscillator. General Description. Features. Block Diagram. Applications

Set Up a PLL Loop Filter on the ez80f91 MCU

Trends in Digital Interfaces for High-Speed ADCs

CrossLink Hardware Checklist Technical Note

SM Features. General Description. Typical Application MHz/312.5MHz and MHz/156.25MHz LVDS Clock Synthesizer.

PERFORMANCE PLASTIC PACKAGE ULTRA MINIATURE PURE SILICON TM CLOCK OSCILLATOR ASEMP

Low-Jitter Frequency Synthesizer with Selectable Input Reference MAX3673

8. Selectable I/O Standards in Arria GX Devices

Course Introduction. Purpose: The intent of this module is to provide an overview of the Freescale clock product categories and clock devices.

Arria V GX Video Development System

IDT Timing Solutions. Networking Communications Consumer Computing Frequency Control. Integrated Device Technology

3.3V, 3.2Gbps DIFFERENTIAL 4:1 LVDS MULTIPLEXER with INTERNAL INPUT TERMINATION

Control Circuitry 2 M1. Micrel Inc Fortune Drive San Jose, CA USA tel +1 (408) fax + 1 (408)

ZL Input, 3-Output IEEE 1588 and SyncE Packet Clock Network Synchronizer Product Brief

STANDARD SPECIFICATIONS:

Evaluation Board User Guide UG-076

AN1106: Optimizing Jitter in 10G/40G Data Center Applications

APEX II The Complete I/O Solution

EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs. S Inputs. S Outputs

Frequency Generator for Pentium Based Systems

Setup/Hold. Set Up time (t su ):

Abstract. Cycle Domain Simulator for Phase-Locked Loops

Altera Product Overview. Altera Product Overview

PHYSICAL LAYER TIMING

Clock and Timing ICs.

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

ASNT1011A-KMA DC-to-17Gbps Digital Multiplexer 16:1 / Serializer

Features. Applications

Epson s Programmable Simple Packaged Crystal Oscillators (P-SPXO)

W H I T E P A P E R. Timing Uncertainty in High Performance Clock Distribution. Introduction

Any-frequency clock conversion MSAN/DSLAM/PON Fibre Channel, SAN Telecom line cards 1 GbE and 10 GbE

2.5V, 3.2Gbps, DIFFERENTIAL 4:1 LVDS MULTIPLEXER WITH INTERNAL INPUT TERMINATION

LVDS Clocks and Termination

8. Migrating Stratix II Device Resources to HardCopy II Devices

MAX3636 Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs

Low-Jitter Frequency Synthesizer with Intelligent Dynamic Switching

Optimization of Phase- Locked Loop Circuits via Geometric Programming

AN803. LOCK AND SETTLING TIME CONSIDERATIONS FOR Si5324/27/ 69/74 ANY-FREQUENCY JITTER ATTENUATING CLOCK ICS. 1. Introduction

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates

Not Recommended for New Designs. Si Data Sheet Errata for Product Revision B

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices

Inphi PAM4 Performance when Driving Shared Reference Clocks

ProASIC PLUS SSO and Pin Placement Guidelines

Transcription:

Tree Design Considerations Hardware design in high performance applications such as communications, wireless infrastructure, servers, broadcast video and test and measurement is becoming increasingly complex as systems integrate more functionality and require ever-increasing levels of performance. Table of Contents: Tree Design Considerations Reference Timing Crystal vs. Free-Running vs. Synchronous Trees Jitter Estimating Tree Jitter Simplifying Trees Tree Design Considerations Hardware design in high performance applications such as communications, wireless infrastructure, servers, broadcast video and test and measurement is becoming increasingly complex as systems integrate more functionality and require ever-increasing levels of performance. This trend extends to the board-level clock tree that provides reference timing for the system. No one size fits all strategy applies when it comes to clock tree design. Optimizing the clock tree to meet both performance and cost requirements depends on a number of factors, including the system architecture, IC timing requirements (frequencies, signal formats, etc.) and the jitter requirements of the end application. Reference Timing When to Use a Crystal vs. a One of the first design considerations is to inventory the hardware design s reference clock requirements and select the type of reference clock that will be used for the processors, FPGAs, ASICs, PHYs, DSPs and the various other components in the system. Quartz crystals are typically used if the IC has an integrated oscillator and on-chip Phase-Locked Loops (s) for internal timing. Crystals are low cost components, exhibit excellent phase noise and are widely available. They can also be placed in close proximity to the IC, simplifying board layout. One of the drawbacks of crystals, however, is their frequency can vary significantly over temperature, exceeding the parts-per- 1 silabs.com Tree Design Considerations

million (ppm) stability requirements of many SerDes applications. In many stability-sensitive high-speed SerDes applications, crystal oscillators (XOs) are recommended because they guarantee tighter stability than passive crystals. Often, XOs are used for SoC reference timing when one or two reference clocks are required. generators/buffers are more typically used when several reference frequencies are required. In some applications, FPGA/ASICs have multiple time domains for data path, control plane and the memory controller interface and require multiple unique reference frequencies. A clock generator/buffer is also preferred when the IC cannot accommodate a crystal input, the IC must be synchronized to an external reference (source-synchronous application) or a high frequency reference not easily generated by a crystal is necessary. Free-Running vs. Synchronous Trees Once the hardware design clock inventory is completed and crystals have been selected for some of the components, the next step is to select the timing architecture for the remaining clocks: free-running or synchronous. For applications that require one or more independent reference clocks without any special phase-lock or synchronization requirements, XOs, clock generators and clock buffers are the preferred choice. Processors, memory controllers, SoCs, and peripheral components (e.g. USB, PCI Express switches) typically use a combination of XOs, clock generators and clock buffers for reference timing in free-running, asynchronous applications. XOs are preferable when the application requires 1 to 2 timing sources, whereas clock buffers/generators are better suited for applications that need several individual clocks. buffers can be used in conjunction with a XO reference to distribute multiple clocks at the same frequency and provide the lowest jitter implementation for a multi-output clock tree. generators can synthesize multiple clocks at different frequencies, but sacrifice some jitter performance in comparison to XO+buffer clock trees. Synchronous clocking is used in applications that require continuous communication and network-level synchronization, such as OTN (Optical Transport Networking), SONET/SDH, mobile fronthaul/backhaul, Synchronous Ethernet and HD SDI video transmission. These applications require transmitters and receivers to operate at the same frequency. By synchronizing all SerDes reference clocks to a highly accurate network reference clock (e.g. Stratum 3, GPS) synchronization across all nodes in the network is guaranteed. In these applications, low bandwidth -based clocks provide wander and jitter filtering (jitter cleaning) to ensure network-level synchronization is maintained. In networking line card applications, specialized jitter attenuating clocks or discrete VCO (Voltage- Controlled Oscillator)-based s are the preferred clock solution for SerDes clocking. For optimal performance, a jitter attenuating clock should be placed at the end of the clock tree, directly driving the SerDes. generators and buffers can be used to provide other system references. 2 silabs.com Tree Design Considerations

Free-Running Trees Dual XOs XO + Buffer 1-2 reference clocks Low jitter clock fanout Single frequency Crystal + Generator synthesis Multiple frequencies Synchronous Tree Reference Low BW Jitter Cleaning / Network Synchronizer Jitter/Wander Attenuation Frequency Translation Generator Frequency Translation Buffer/ Translator Distribution Format/Level Translation Figure 1. Tree Examples Jitter Jitter is a critical specification for timing components because excessive clock jitter can compromise system performance. There are three common types of clock jitter. Depending on the application, one type of jitter will be more important than others. Cycle-to-cycle jitter measures the maximum change in clock period between any two adjacent clock cycles, typically measured over 1,000 clock cycles. Period jitter is the maximum deviation in clock period with respect to an ideal period over a large number of cycles (10,000 clock cycles typ.). Both cycle-cycle jitter and period jitter are useful in calculating setup and hold timing margins in digital systems, so they are often figures of merit for CPU and SoC devices. Phase jitter is the figure of merit for high-speed SerDes applications. Phase jitter is a ratio of noise power to signal power calculated by integrating the clock single sideband phase noise across a range of frequencies offset from a carrier signal. Phase jitter is especially critical in FPGA and high-speed SerDes clocking applications in which excessive phase jitter can degrade the Bit-Error-Rate of the high-speed serial interface. During clock tree design and component selection, it is important to evaluate devices based on maximum jitter performance. Typical jitter specifications do not guarantee device performance over all conditions, including process, voltage, temperature, and frequency variation. Maximum jitter provides a more comprehensive specification inclusive of these additional factors. In addition, take special care to review jitter test conditions on timing device datasheets. jitter performance varies across a wide range of conditions, including device configuration, operating frequency, signal format, input clock slew rate, power supply and power supply noise. Look for devices that fully specify jitter test conditions since they guarantee operation over a wider operating range. 3 silabs.com Tree Design Considerations

Selection Criteria for s and Oscillators Once the basic clock tree architecture is determined, the next step is component selection. The table below summarizes the selection criteria that should be used for selecting clock and oscillator components for both freerunning and synchronous clock trees. Look for features that simplify clock tree design to minimize BOM cost and complexity. Function XO VCXO Generator Buffer Jitter Cleaning / Network Synchronizer Free-run operation Yes No Yes Yes Yes Synchronous operation No Yes Yes Yes Yes Multiplication No Yes Yes No Yes ision No No Yes Yes Yes Jitter Cleaning No Yes No No Yes Design Complexity Low High Medium Low Medium Integration Low Low High High High Small form factor Small form factor Any-frequency, anyoutput clock synthesis Format/level translation Any-frequency clock synthesis Format translation Integrated input mux Integrated VCXO Features That Simplify Tree Design VDD level translation Glitchless switching between clocks at different frequencies Integrated loop filter division Hitless switching Synchronous output clock disable Holdover Integrated power supply filtering Table 1. Timing Component Selection Criteria Estimating Tree Jitter Before a clock tree design is complete, the total clock tree jitter should be estimated to determine if there is sufficient system-level design margin. It is important to note that total clock tree RMS jitter is much less than the simple sum of data sheet jitter specifications from multiple components. The clock tree jitter can be defined by the following equation: Tj(RMS) = J 1 2 + J 2 2 + + J n 2 (Equation 1) Where T j = Total RMS jitter, J n = individual device RMS jitter. Note: This equation can be applied to calculating total period jitter and phase jitter, assuming the jitter distributions are Gaussian and uncorrelated. This equation should not be applied to cycle-cycle jitter because cycle-cycle jitter is expressed as a peak jitter number, not RMS. Component jitter J n can be estimated using datasheet jitter specifications or calculated from phase noise data. Silicon Labs offers an easy-to-use utility for converting clock phase noise to jitter. See http://www.silabs.com/support/pages/phase-noise-jitter-calculator.aspx for more details. As mentioned above, be sure to use maximum jitter specifications to generate a conservative estimate of total clock tree jitter. 4 silabs.com Tree Design Considerations

Simplifying Trees Many clock trees require specialty features in addition to basic clock generation and distribution. For example, the application may require format/level translation (e.g. 3.3V LVPECL to 2.5V LVDS), switching between two clocks at different frequencies, clock division, pin-selectable output enable control and CMOS drive strength (output impedance) control for EMI reduction. If designed discretely, implementing these functions adds significant cost and complexity to the clock tree design. Silicon Labs has developed a family of Si5330x universal buffers/translators that integrate format/level translation, clock muxing, clock division and other key clock tree building block functions. These devices replace multiple LVPECL, LVDS, CML, HCSL and LVCMOS buffers with a single clock buffer IC. In addition to simplified clock tree design, the Si5330x minimize BOM cost and complexity, simplify procurement and improve system performance. Traditional Distribution 156.25 MHz Generator N x 3.3V LVPECL Logic Translation N x 2.5V LVDS ision Mux Buffer Format/Level Translation Si53302-Based Distribution VDD Format Select (LVPECL, LVDS, CML, HCSL, CMOS) 156.25 MHz 5 x 3.3V LVPECL VDDO 5 x 2.5V LVDS VDDO Format Select (LVPECL, LVDS, CML, HCSL, CMOS) Integrated clock buffer, frequency flexible 2:1 mux, format/level translation Figure 2. Si5330x Simplifies Tree Designs Silicon Labs Timing Products Silicon Labs offers the industry s broadest portfolio of frequency flexible low jitter clock generators, clock buffers, jitter cleaning clocks, network synchronizers and XO/VCXOs. To learn more about Silicon Labs timing products, go to: s: Buffers: XO/VCXO: https://www.silabs.com/products/timing/clocks https://www.silabs.com/products/timing/buffers https://www.silabs.com/products/timing/oscillators 5 silabs.com Tree Design Considerations