PG Certificate. VLSI Design & Verification (RTL using Verilog, FPGA Design Flow & Verification) (Live Project)

Similar documents
PG Certificate. Embedded, Internet Of Things & Android

6 Month Certificate Program in VLSI Design & Verification" with Industry Level Projects. Tevatron Technologies Prívate Limited

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD

PINE TRAINING ACADEMY

Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

World Class Verilog & SystemVerilog Training

CS/EE Prerequsites. Hardware Infrastructure. Class Goal CS/EE Computer Design Lab. Computer Design Lab Fall 2010

CS/EE Computer Design Lab Fall 2010 CS/EE T Th 3:40pm-5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Erik Brunvand

Digital Logic Design Lab

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

COE 561 Digital System Design & Synthesis Introduction

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training

ECE/CS Computer Design Lab

An overview of standard cell based digital VLSI design

PG DIPLOMA COURSE IN VERIFICATION USING SYSTEMVERILOG & UVM NEOSCHIP TECHNOLOGIES

Problem solving using standard programming techniques and Turbo C compiler.

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

FPGA for Software Engineers

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Overview of Digital Design Methodologies

Evolution of CAD Tools & Verilog HDL Definition

UVM for VHDL. Fast-track Verilog for VHDL Users. Cont.

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Sunburst Design - Comprehensive SystemVerilog Design & Synthesis by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

For more details, Please contact: #25, Dr. Radhakrishnan Salai, CADD Centre Building, Mylapore, Chennai

Academic Course Description. VL2001 Digital System Design using Verilog First Semester, (Odd semester)

DESIGN STRATEGIES & TOOLS UTILIZED

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

: : (91-44) (Office) (91-44) (Residence)

R07. IV B.Tech. II Semester Supplementary Examinations, July, 2011

Teaching Computer Architecture with FPGA Soft Processors

FPGA Implementation and Validation of the Asynchronous Array of simple Processors

An Overview of Standard Cell Based Digital VLSI Design

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Lecture #1: Introduction

FPGA Based Digital Design Using Verilog HDL

EMBEDDED SYSTEMS COURSE CURRICULUM

Embedded Systems, Android & Robotics INTERNSHIP CONTENT

VHX - Xilinx - FPGA Programming in VHDL

Chapter 1 Overview of Digital Systems Design

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

Don t expect to be able to write and debug your code during the lab session.

Chapter 5: ASICs Vs. PLDs

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

Bachelor of Engineering Technology (Electronics & Controls) Curriculum Document. Australian College of Kuwait. (September 2015) BEEF15 - Version 5.

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition

Modular SystemVerilog

ASSOCIATED ELECTRONICS RESEARCH FOUNDATION C-53 PHASE-II, NOIDA

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

EE 4755 Digital Design Using Hardware Description Languages

FPGA Design Flow 1. All About FPGA

Digital Integrated Circuits

An Efficient Designing of I2C Bus Controller Using Verilog

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

101-1 Under-Graduate Project Digital IC Design Flow

Verilog for High Performance

Chapter 5 Embedded Soft Core Processors

Is SystemVerilog Useful for FPGA Design & Verification?

ALTERA FPGA Design Using Verilog

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

PREFACE. Changes to the SOPC Edition

EE 4755 Digital Design Using Hardware Description Languages

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

ASYNC Rik van de Wiel COO Handshake Solutions

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE

MLR Institute of Technology

Sunburst Design - Advanced SystemVerilog for Design & Verification by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Part B. Dengxue Yan Washington University in St. Louis

SystemC-to-Layout ASIC Flow Walkthrough

Course Profile SystemVerilog Design

Design Methodologies and Tools. Full-Custom Design

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

Digital System Design with SystemVerilog

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari

Digital Systems Laboratory

Cell-Based Design Flow. TA : 吳廸優

Hardware Resources in Digital Systems Teaching

Jordan University of Science and Technology Faculty of Computer and Information Technology Department of Computer Engineering

Programmable Logic Devices

ESE 150 Lab 07: Digital Logic

Cover TBD. intel Quartus prime Design software

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

Synplify Pro for Microsemi Edition Release Notes

Computer Department Course Catalog

Lab 6 : Introduction to Verilog

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164

ADMINISTRATIVE MANAGEMENT COLLEGE

Overview of Digital Design with Verilog HDL 1

Advanced module: Video en/decoder on Virtex 5

Field Programmable Gate Array

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

Cell-Based Design Flow. 林丞蔚

DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG

Verilog Essentials Simulation & Synthesis

TLL5000 Electronic System Design Base Module

Transcription:

PG Certificate in VLSI Design & Verification (RTL using Verilog, FPGA Design Flow & Verification) (Live Project) Certificates by National Skill Development Corporation (NSDC), Ministry of Skill Development & Entrepreneurship(MSDE) An Initiative by Industry Experts from Cadence, Atrenta & Patni with qualification from IITs and BITS-Pilani Technology Partners of Cadence Design Systems, Questa Vanguard Partner of Mentor Graphics, HEP Partner of Mentor Graphics DKOP Labs Pvt. Ltd. Knowledge, Operations and Practices A 82, Ground Floor, Sector 57, Noida 201301 Tel: 0120-4274237; Mob: +91-9971792797, +91-9910101496, +91-9780280410 Email: info@dkoplabs.com; Web: http://www.dkoplabs.com 1/

MODULE TOPICS MODULE 1: VLSI DESIGN FLOW 1. VLSI design flow awareness MODULE 2: LINUX OPERATING SYSTEM & SHELL SCRIPTING 1. Introduction to Linux OS 2. Commands for Managing files and directories 3. Methods of Securing Files in Linux 4. Document Processing commands a) Filters b) Redirection c) Pipes d) Wildcards 5. Creating files using the vi editor 6. vi Commands 7. Automating tasks using shell scripts 8. Using conditional execution in shell scripts a) Arithmetic Conditions b) String Conditions c) File/Directory conditions 9. Managing repetitive tasks using shell scripts a) Loops While, Until, for b) break and continue 10. Parameters Handling 11. Advanced features a) functions b) sed c) awk d) signal and traps MODULE 3: DIGITAL SYSTEM IC DESIGN 1. Introduction to Digital System IC Design 2. Number System and Boolean logic 3. Logic gates, functions & library 4. Optimization techniques for logic functions 5. Designing combinational circuits a) Error Detection and Correction Logic b) Parity Generator and Checker 6. Analysis and Synthesis of combinational circuits a) Arithmetic and Logical functions b) Data Transmitters c) Code Convertors 7. Logic Families a) TTL b) ECL c) CMOS 8. Designing synchronous sequential circuits 9. Analysis and Synthesis of sequential circuits like Flip-Flops, registers, counters and memory 10. Basics of Microprocessor 11. Designing Finite State Machines (FSM) 12. Discussion - Special circuits like LFSR, FIFO, barrel shifter 13. Case study UART MODULE 4: VERILOG HDL 1. Introduction to Verilog HDL 2. Data types 2/

3. Gate-Level modeling 4. Operators 5. Dataflow modeling 6. Modeling timing and delays 7. Behavioral modeling 8. Parameters, tasks and functions 9. Compiler directives 10. System tasks 11. File input/output 12. Switch-level modeling 13. User Defined Primitives 14. Design Case Studies FSM, ALU, RAM, ROM, UART, Adaptive Traffic light signal MODULE 5: PROJECT IN VERILOG 1. Project Study 2. Design & Implementation using Mentor Graphics ModelSim simulation tools 3. Evaluation of Project MODULE 6: SYNTHESIS USING FPGA 1. Introduction to FPGAs and FPGA kits 2. Insight into FPGA Architecture 3. Writing RTL for FPGA flow 4. FPGA Design Flow using Xilinx FPGA Kit and Xilinx Tools 5. Using special FPGA Resources in design a) Block RAM b) DCM (Digital Clock Manager) c) Dedicated arithmetic functions 6. FPGA kit interfacing and configuration a) SSLED b) PS2 Keyboard c) VGA Controller d) LCD Interfacing e) A/D and D/A Converter Interfacing f) Memory Module Interfacing MODULE 7: TCL-TK 1. Introduction 2. Data types, variables, assignments and expressions 3. Lists, arrays and associative arrays 4. Subroutines or Procedures 5. Control structures 6. File Input and Output 7. The world of regular expressions 8. More on TCL - trace, eval, exec, info, history, format 9. Tk frame, label, entry, check button, radio button, message box, scales, list box, scroll bar, text, menu, top level 10. Tk binding, packing, grid, canvas 11. Tk window manager commands, input focus, selection, update, grab, tkwait 12. Project in TCL-Tk MODULE 8: VERIFICATION USING SYSTEMVERILOG 1. All about verification 2. Data types, operators 3. Procedural statements, tasks and Functions 4. Hierarchy and connectivity 3/

5. Interfaces 6. Object oriented paradigm (OOPs) 7. Programs and clocking blocks 8. Inter-process communication 9. Randomization 10. System Verilog assertions 11. Functional coverage 12. Labs and project MODULE 9: CMOS VLSI DESIGN 1. Introduction to CMOS design 2. MOS equations and characteristics 3. Basic CMOS concepts 4. Layout & Rules 5. Fabrication 6. Delay Estimations using logical effort 7. CMOS Combinational Circuits 8. CMOS Sequential Circuits 9. PSPICE simulation MODULE 10: C/C++ REFRESHER 1. Basic Data Types 2. Operators (Arithmetic & Logical) 3. Conditional Constructs (if..else, switch..case, etc) 4. Repetition Constructs (loops) 5. Pointers 6. Object Oriented Programming 7. Classes and Objects 8. Inheritance & Polymorphism 9. Exercises 1. Schematic Capture 2. Creating SPICE Netlist 3. SPICE Simulation 4. Layout & Footprints 5. Gerber Generation 1. Resume Writing 2. Interview Facing Skills 3. Mock Interview 4. Practice Tests MODULE 11: PCB DESIGN MODULE 12: SOFT SKILLS TOOLS Our labs are equipped with Licenses of State-of-the-art Mentor Graphics EDA Tools, Windows/Linux based Open-Source EDA tools and demo versions of some industry tools. 1. Mentor Graphics Verilog Design & Simulation Tools 2. Open Source Verilog Design & Simulation Tool 3. Xilinx ISE for FPGA flow 4/

BENEFITS FOR YOU 1. Helps you in understanding the practical and industrial applications of academic curriculum 2. Build your knowledge to develop innovative projects during your final year of engineering 3. Enhances the Skill-Set in your resume for Better Placement Prospects within the Semiconductor Industry 4. Helps the aspirants of higher studies abroad to face the stif competition from students of other countries 5. Build your confidence through hands on exposure to various tools & technologies TEAM OF TRAINERS DKOP Labs is proud to have highly qualified and experienced professionals from Industry, Research and Academics. For details, click here. PLACEMENTS We have been providing excellent placement platform to our trainees in companies like Cadence, Xilinx, ST Microelectronics, Samsung, Synopsys, Mentor Graphics, SmartPlay, CMC Limited, TrueChip, Agnisys, DKOP Labs, etc. For detailed list, click here. PARTNERSHIPS TRAINING PARTNER OF NATIONAL SKILL DEVELOPMENT CORPORATION HEP PARTNER OF MENTOR GRAPHICS TECHNOLOGY PARTNER OF CADENCE DESIGN SYSTEMS QUESTA VANGUARD PARTNER OF MENTOR GRAPHICS Batch Commences in Total Seats Duration PROGRAM DETAILS : January, March, July, Sept (every year) : 30 per batch (maximum) : Seven Months (5 days/week, Mon-Fri, 4 hours/day) This program is also available on Weekends (Sat & Sun) for working professionals. 5/