Evaluation of the RTL Synthesis Tools for FPGA/PLD Design. M.Matveev. Rice University. August 10, 2001

Similar documents
DESIGN STRATEGIES & TOOLS UTILIZED

AL8253 Core Application Note

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

AL8259 Core Application Note

EDA Software Support. Introduction

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.3

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim. ver. 2.0

Quartus. Introduction. Programmable Logic Development System & Software

FPGA Design Flow 1. All About FPGA

Using Verplex Conformal LEC for Formal Verification of Design Functionality

Early Models in Silicon with SystemC synthesis

Quartus II Prime Foundation

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

Evolution of CAD Tools & Verilog HDL Definition

Tutorial on FPGA Design Flow based on Aldec Active HDL. Ver 1.5

ALTERA FPGA Design Using Verilog

Model-Based Design for Video/Image Processing Applications

Tutorial on FPGA Design Flow based on Aldec Active HDL. ver 1.7

ALTERA FPGAs Architecture & Design

FPGA Implementation and Validation of the Asynchronous Array of simple Processors

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Documentation. Design File Formats. Constraints Files. Verification. Slices 1 IOB 2 GCLK BRAM

Introduction to WebPACK 3.1. Using XILINX WebPACK Software to Create CPLD Designs

Synthesis Options FPGA and ASIC Technology Comparison - 1

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software

CAD SUBSYSTEM FOR DESIGN OF EFFECTIVE DIGITAL FILTERS IN FPGA

Tutorial on FPGA Design Flow based on Aldec Active HDL. ver 1.6

CCE 3202 Advanced Digital System Design

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow

Hardware Synthesis. References

Digital Circuit Design Using Xilinx ISE Tools

lpm_compare Megafunction User Guide

Hardware Modeling. Hardware Description. ECS Group, TU Wien

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks

High Speed SPI Slave Implementation in FPGA using Verilog HDL

ISE Design Suite Software Manuals and Help

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

Applications Note. HDL Simulation FPGA Design Methodology. October 15, Revision 1.0

University of Massachusetts Amherst Department of Electrical & Computer Engineering

WebPACK ISE DESIGN SOFTWARE

EE 4755 Digital Design Using Hardware Description Languages

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

Using Synplify Pro, ISE and ModelSim

CHAPTER-IV IMPLEMENTATION AND ANALYSIS OF FPGA-BASED DESIGN OF 32-BIT FPAU

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Building Combinatorial Circuit Using Behavioral Modeling Lab

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design.

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

MAX+PLUS II. Introduction. Programmable Logic Development System & Software

Is SystemVerilog Useful for FPGA Design & Verification?

Verilog Design Entry, Synthesis, and Behavioral Simulation

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

Chapter 9: Integration of Full ASIP and its FPGA Implementation

RTL Coding General Concepts

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

Basic Xilinx Design Capture. Objectives. After completing this module, you will be able to:

An Overview of a Compiler for Mapping MATLAB Programs onto FPGAs

EE 4755 Digital Design Using Hardware Description Languages

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack andisim. ver. 1.0

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.5

ProASIC PLUS FPGA Family

IP Module Evaluation Tutorial

Intel Quartus Prime Pro Edition

Xilinx Project Navigator Reference Guide

Assignment. Last time. Last time. ECE 4514 Digital Design II. Back to the big picture. Back to the big picture

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

10. Synopsys Synplify Support

Don t expect to be able to write and debug your code during the lab session.

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide

Tools for Reconfigurable Supercomputing. Kris Gaj George Mason University

Xilinx 5.1i Incremental Design Flow

1GbEth. Access Switch. 1GbEth. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example

Lab 3 Verilog Simulation Mapping

DSP Flow for SmartFusion2 and IGLOO2 Devices - Libero SoC v11.6 TU0312 Quickstart and Design Tutorial

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

Introduction to Verilog. Mitch Trope EECS 240 Spring 2004

ChipScope Demo Instructions

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace

Intel Quartus Prime Standard Edition User Guide

Introduction to WebPACK 8.1. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

FPGA Design Tutorial

Lecture 5: Aldec Active-HDL Simulator

FPGA Based Digital Design Using Verilog HDL

DE2 Board & Quartus II Software

Tutorial for Altera DE1 and Quartus II

Lab 1: Introduction to Verilog HDL and the Xilinx ISE

Generating Parameterized Modules and IP Cores

Lab 6 : Introduction to Verilog

AES1. Ultra-Compact Advanced Encryption Standard Core AES1. General Description. Base Core Features. Symbol. Applications

ELEC 204 Digital System Design LABORATORY MANUAL

Transcription:

Evaluation of the RTL Synthesis Tools for FPGA/PLD Design M.Matveev Rice University August 10, 2001

Xilinx: Foundation ISE Design Entry: VHDL, Verilog, schematic, ABEL Synthesis: Xilinx XST, Synopsys FPGA Express Fitter: Xilinx proprietary Simulator: ModelSim Xilinx Edition Test Bencher: HDL Bencher Design Entry Synthesis Altera: Quartus and Max+Plus Design Entry: VHDL, Verilog, schematic, AHDL Synthesis: Altera proprietary Fitter: Altera PowerFitter Simulator and Test Bencher: Integrated (Altera) Fitting (Place and Route) Verification Simplified FPGA design flow (integrated development system)

VHDL or Verilog project files Design Entry Third Party RTL Synthesis EDIF Synthesis Fitting (Place and Route) Verification Simplified FPGA design flow with a third party synthesis tool

RTL (Register Transfer Level) Synthesis program translates, along with user-defined constraints, an RTL model of hardware written in a HDL (VHDL or Verilog) into a gate-level netlist for place and route. Typical Features: Language-sensitive editor with automatic syntax and synthesis checks for both Verilog and VHDL Proprietary timing-driven synthesis algorithms for logic optimization and technology mapping Block- and gate-level graphical results Tools for critical path analysis and retiming Industry standard outputs for P&R (EDIF) Support for virtually all PLD/FPGA vendors and families Seamless interface with the integrated systems (Xilinx, Altera etc)

Launch the software Set up Files Source files Set Constraints Fmax, i/o delays, attrubutes etc Set Options Run Synthesis Analyze Results Vendor-specific options Compilation, optimization and mapping into vendor-specific technology Block diagrams, schematics, tables To Place and Route Typical RTL Synthesis Design Flow

Most Popular Third Party PLD/FPGA RTL Synthesis Tools for PC (Windows) FPGA Express (Synopsys). Available at the Xilinx Foundation ISE intergrated system in addition to Xilinx XST synthesis. Free companion to Altera Max+Plus and Quartus systems. Full version is available for evaluation (www.synopsys.com). FPGA Compiler II (superset of FPGA Express) (Synopsys). Available for evaluation (www.synopsys.com). Leonardo Spectrum (Exemplar Logic, Mentor Graphics). (Altera-only version is a free companion to Altera Max+Plus and Quartus systems. Available for downloading from Altera web site. ). Sinplify Pro (Synplicity). 20-day free trial version is available for downloading from www.synplicity.com

The goal of evaluation: 1. Create a sample chip-independent hierarchical VHDL project 2. Run this project through the integrated development system (Altera or Xilinx) and get a results (performance and resource usage). 3. Run the same project through a third party synthesis (several tools), import the output EDIF file into vendor specific P&R tool (Altera or Xilinx) and get a results (performance and resource usage). 4. Compare results obtained in 1 and 2.

Sample Project 18 4-BIT PATTERNS FF ALL COMPARISONS 153 SELECT FIRST BEST 18 FF 18 1st BEST ADDRESS 153 SELECT SECOND BEST 18 FF 18 2nd BEST ADDRESS CLOCK 153 SELECT THIRD BEST 18 FF 18 3rd BEST ADDRESS Sorter 3 objects out of 18 Project consists of 7 vendor-independent VHDL design files Sorting is based on 4-bit patterns 72 data inputs, 1 clock input, 54 outputs, no PLL/DLL, no RAM/ROM/FIFO

Results of Synthesis and P&R (Altera Quartus II v.1.0) Target device - Altera 20K60EFC324-1 Both synthesis and P&R are optimized for speed Quartus II Leonardo FPGA Express Synplify Pro v.1.0 v.2001-1a28 2000.11-FE3.5 6.2.4 * * * Number of LC used 1539/2560 1430/2560 1823/2560 1442/2560 (60%) (55%) (71%) (56%) Fmax after P&R, MHz 39.86 44.87 40.42 48.87 * EDIF output was imported into Quartus II for P&R

Results of Synthesis and P&R (Altera Quartus II v.1.0) Target device - Altera 20K100CF324C7 Both synthesis and P&R are optimized for speed Quartus II Leonardo FPGA Express Synplify Pro v.1.0 v.2001-1a28 2000.11-FE3.5 6.2.4 * * * Number of LC used 1539/4160 1430/4160 1823/4160 1319/4160 (36%) (34%) (43%) (32%) Fmax after P&R, MHz 47.49 56.19 46.72 56.45 * EDIF output was imported into Quartus II for P&R

More investigation: 1. In a sample project the key VHDL design file (153 parallel comparisons) was replaced by functionally identical schematic file. All the rest settings and files in an Altera Quartus project were unchanged. The overall design performance for the 20K60E PLD was up from 39.86MHz to 47.02MHz (~18%)! Resource usage is also better (55% vs 60%). 2. In a sample project the key VHDL design file (153 parallel comparisons) was replaced by functionally identical VHDL that uses an Altera lpm_compare macrofunction. All the rest settings and files in a project were unchanged. U1: lpm_compare U1: OUTA(1) <= 1 WHEN INA > INB ELSE 0 ; generic map (lpm_width => 4) port map (dataa => INA, datab => INB, agb => OUTA(1)); The overall design performance for the 20K60E PLD was up from 39.86MHz to 46.44MHz (~17%)! Resource usage is also better (56% vs 60%). Conclusion: Quartus synthesis is more efficient for Altera-specific design sources including VHDL

Results of Synthesis and P&R (Xilinx Foundation ISE 3.3) Target device - Xilinx 2V250FG456-5 Synthesis is optimized for speed ISE 3.3 ISE 3.3 Synplify Pro XST FPGA Express 6.2.4 * Number of slices used 894/1536 (58%) Fmax after P&R, MHz 51.90 * EDIF output was imported into Foundation ISE for P&R

Results of Synthesis and P&R (Xilinx WebPACK 3.3) Target device - Xilinx XC95288XV-7-TQ144 Project - sorter 3 out of 6 (due to limited number of I/O) Synthesis is optimized for speed WebPACK WebPACK Synplify Pro XST XST 6.2.4 * ** Number of product terms used 838/1440 813/1440 713/1440 (58%) (56%) (49%) Number of macrocells used 79/288 69/288 70/288 (27%) (23%) (24%) Fmax after P&R, MHz 33.40 33.70 42.10 * Schematic source for comparisons ** EDIF output was imported into WebPACK for P&R CONCLUSION: 1. Xilinx XST synthesis is more efficient for Xilinx-specific than Xilinx-independent sources 2. Synplify Pro synthesis is more efficient than Xilinx XST

Results of Synthesis and P&R (Xilinx Foundation ISE 3.3) Target device - Xilinx V300EBG352-8 Synthesis is optimized for speed Number of slices used 904/3072 (29%) Fmax after P&R, MHz 41.76 ISE 3.3 ISE 3.3 Synplify Pro XST FPGA Express 6.2.4 * * EDIF output was imported into Foundation ISE for P&R

Why the Synplify Pro is more efficient than others? Proprietary Behaviour Extracting Synthesis Technology (B.E.S.T.) Algorithms based on: Conservation of Abstraction Integrated Module Generation Automatic Hierarchy Optimization Linear Compile Times More details: http://www.synplicity.com/literature/pdf/highdensitydesignmethod.pdf Design Abstraction Behaviour RTL Gates Start Others Synplify Finish Language Compilation Logic Optimization Synthesis Flow Technology Mapping

HDL Editor Block Diagram Editor State Diagram Editor Functional Simulation Testbench Generation Logic Synthesis Design Implementation Timing Simulation Integrated System for Simulation and Synthesis

Integrated Systems for Simulation and Synthesis FPGA Advantage (Mentor Graphics). May 2001 HDL Designer Series (Mentor) - design entry and management ModelSim (Model Technolody) - simulation Leonardo Spectrum (Examplar, Mentor Graphics) - synthesis Regular price from $12K. University price $2.1K/year. Available for downloading and free 30-day evaluation from www.mentor.com/fpgaadvantage. Active-HDL with Synplify (Aldec). August 2001 Active-HDL - design entry and simulation Synplify (Synplicity) - synthesis

Conclusion Third party RTL synthesis tools may improve the overall design performance and resource usage for some projects up to 10..20% without changes in an original HDL code or/and P&R options. Synplify Pro (Synplicity) seems to be the most powerful PLD/FPGA third party RTL synthesis tool for PC (Windows). Available for free 20-day trial. Available on University program from Synplicity ($495 for three years, one node locked or 1-100 floating licenses). Integrated third party system comprising both simulation and synthesis could be the best companion tool for a vendor-specific development system, especially Xilinx Foundation ISE.