Technology and Manufacturing

Similar documents
Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing

Manufacturing and Technology R&D

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

Managing the downturn, Ready for the Upswing

Embedded 28-nm Charge-Trap NVM Technology

Advanced Heterogeneous Solutions for System Integration

Technology & Manufacturing

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

TABLE OF CONTENTS III. Section 1. Executive Summary

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

Intel Corporation Silicon Technology Review

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Multi-Die Packaging How Ready Are We?

Stacked Silicon Interconnect Technology (SSIT)

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

Technology Platform Segmentation

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

International Technology Roadmap for Semiconductors

TechSearch International, Inc.

Numonyx Company Overview. Non-Volatile Memory Vision (R.Bez)

Mobile, Multimedia & Communications. Tommi Uhari Executive Vice President MMC Group

Applications for Mapper technology Bert Jan Kampherbeek

CircuitsMulti-Projets

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

ARCHIVE 2008 COPYRIGHT NOTICE

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

New Embedded NVM architectures

technology Leadership

Burn-in & Test Socket Workshop

All Programmable: from Silicon to System

3D SoC and Heterogeneous Integrations

Common Platform Ecosystem Enablement

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Performance and Strategy

From Silicon to Solutions: Getting the Right Memory Mix for the Application

5.2 Technology Leadership

High Performance Mixed-Signal Solutions from Aeroflex

BCD8sP Technology Overview

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

TechSearch International, Inc.

Microcontrollers & Digital ICs Group (MDG)

Flash Memories. Ramin Roosta Dept. of Computer Engineering. EE 595 EDA / ASIC Design Lab

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

N E W S R E L E A S E

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

Beyond Moore. Beyond Programmable Logic.

HIGH LEVEL DIALOGUE ON ASEAN ITALY ECONOMIC RELATIONS

Continuing Moore s law

More Course Information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Bringing 3D Integration to Packaging Mainstream

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1.

Circuits Multi-Projets

Presenter Name. Larry Morrell Title or job function. Vice President/GM IP Products

envm in Automotive Modules MINATEC Workshop Grenoble, June 21, 2010 May Marco 28, 2009 OLIVO, ST Automotive Group

emram: From Technology to Applications David Eggleston VP Embedded Memory

Moore s s Law, 40 years and Counting

Packaging Innovation for our Application Driven World

Advanced Packaging For Mobile and Growth Products

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

Xilinx SSI Technology Concept to Silicon Development Overview

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM

TSBCD025 High Voltage 0.25 mm BCDMOS

ST Business & Operations

New System Solutions for Laser Printer Applications by Oreste Emanuele Zagano STMicroelectronics

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

FBR Capital Markets 12 th Annual Spring Investor Conference

Heterogeneous Integration and the Photonics Packaging Roadmap

OTP & MTP/FRP Non-Volatile Memory IP for Standard Logic CMOS

IDEMA Keynote Address February 17, Sanjay Mehrotra COO, Executive VP

Multi-Core Microprocessor Chips: Motivation & Challenges

TechSearch International, Inc.

Credit Suisse European Technology Conference

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

5.2 Technology Leadership

Versatile RRAM Technology and Applications

Toshiba America Electronic Components, Inc. Flash Memory

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages

Performance & Reliability Driven Memory solution. MacronixInternational Co., Ltd.

Wafer Probe card solutions

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

MRAM Developer Day 2018 MRAM Update

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit

IC Testing and Development in Semiconductor Area

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Applications Emerging to Employ Embedded Non- Volatile Memory

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014

Toward a Memory-centric Architecture

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory

Transcription:

Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd

Field Trip 2006 - London, May 23rd Technology

Technology Development Centers and Main Programs CMOS Logic Platform (Crolles) 300mm Alliance 65nm process qualified, prototyping started Convergence of RF/Analog Mixed Signal in 65nm 45nm in development 32nm under definition Embedded DRAM CMOS Analog Platforms ST Crolles RF Receiver/Transmitter technologies Imaging technologies Power Management, High Voltage, Embedded NVM and MEMS Agrate (8 ) NV - Memory Platforms (Agrate) NOR: 65nm in qualification 45nm in development Phase Change Memory NAND JV with Hynix, New 12 in China

High Performance Logic Technologies in Crolles 2 2004 2005 2006 2007 2008 2009 2010 2011 CMOS 65 nm Advanced R&D CMOS 45 nm Advanced R&D CMOS 32 nm CMOS 22 nm Advanced R&D 1 st Silicon Prototypes Start Production Base logic technologies are design rule aligned with Foundry Partners

65nm: Qualified Technology Next generation platform for Set Top Box > 100 million transistors > 800 pads Several million lines of SW code VLIW ST231 core, ST40 CPU core Advanced Digital Rights Management Market: dual advanced codecs High Definition decoder idtv with advanced codecs Dual TV STBs Media Servers HD-DVD and Blu-Ray capable High-end IPTV Single chip solution 65nm product Sampling mid-06 Production ramp-up H107

Crolles2 Technology Pipeline from Advanced Research Institutes Dan Noble Center LETI IMEC Materials Materials and and Advanced Advanced Modules Modules Crolles Narrowed Options 45nm 45nm Design Design Rule Rule Inputs Inputs 45nm 45nm Device Device Architecture Architecture Stressor Stressor Technologies Technologies Gate Stack Gate Stack (High-k, M-Gate) (High-k, M-Gate) E-beam Direct E-beam Direct Write Litho Write Litho BEOL BEOL Materials Materials & & Integration Integration Immersion Immersion Lithography Lithography Academic Research Advanced Research Advanced Modules Module Development Process Integration Manufacturing

45nm Technology Development Status: 300mm equipment and process available Complex test chip running Device performance demonstrated Scheduled for production early 2008 Advanced Process Features: Very high NA Immersion lithography Minimum pitch = 130nm Optimized NiSi Salicide Extensive use of stressors for mobility improvement Very low k (2.6) intermetal dielectric integration Design rules adapted for better manufacturability Design solutions for power management

Status: 32nm Technology Research 300mm equipment available for feasibility demonstration Use of e-beam direct write for minimum dimension SRAM 0.124µm² process Scheduled for production early 2010 Advanced Process Features: High k and metal gate technology Junctions laser annealing Ultra low k (2.3) intermetal dielectric Self-aligned barriers Innovative SRAM solutions study SiO2 ULK Cu SiCN CoW P

High Performance Logic Program Prototypes 120 nm 90 nm 65 nm 2005 2006 Status Production Qualification CMOS 90nm 65nm Embedded DRAM 2010 2011 Projection 120nm 90nm CMOS Embedded DRAM 45nm 45nm 32nm 32nm Development 45nm 65nm 22nm Normalized N of prototypes Embedded Memory 22nm 2nm 2001 2002 2003 2004 2005 2006 Est.

Embedded Flash Over 10 years experience through 5 technology generations and volume manufacturing for automotive and consumer electronics Flash know-how based on stand-alone memory products: memory cell memory block design and testing process modules and manufacturing control Modular process flow to ensure: Core CMOS compatibility for IP reuse Flash memory yield and reliability Production running in the same fabs as stand-alone Flash 180 nm 0.37 µm 2 Flash cell Technology node 16 bit MCU with 7Mbit Flash 90 nm 0.18 µm 2 Flash cell Memory cell size 65 nm 0.10 µm 2 Flash cell Prototypes: Q2/02 Q1/07 H2/08

Non Volatile Memory Processes Q2 2006 Status / Programs Production Qualification / Production Start Development Key Applications Flash NOR 90nm 65nm 45nm Mobile Phones 2 bit / cell Code Storage Flash NAND 70nm 60nm 50nm Mobile Phones Data Storage Embedded Flash 0.18µm - 90nm Automotive Smart Cards 0.15µm 0.13µm 90nm Smart Cards EEPROM / flash

NOR Flash Roadmap 130 nm 0.16 µm 2 90 nm 0.076 µm 2 65 nm 0.042 µm 2 45 nm 0.024 µm 2 512Mb 1.8V Multibank 2 bit/cell Prototypes : 0.042 µm 2 Memory Cell 32 nm 0.015 µm 2 Q1/03 Q3/04 Q4/05 Q4/07 H1/10

NAND Flash Roadmap 90 nm 0.038 µm 2 70 nm 0.021 µm 2 60 nm 0.015 µm 2 Prototypes : 4 Gbit 50 nm 0.01 µm 2 42 nm 0.007 µm 2 Q2/04 Q2/05 Q2/06 Q2/07 H2/08

Preparing for the Future Pushing the limits of flash memories Evolutionary development for 45nm feasible Investigation of innovative solutions for 32nm Accelerating the activity on phase change memory Product development and technology industrialization in progress Addressing both stand-alone and embedded memory Structuring the activity on probe storage (MEMS based memory devices) Kicked-off the internal development program Joint development program with key partners under definition

ST Phase Change Memory Roadmap 180 90 45-32 Technology Node (nm) Maturity Technology Demonstrator Cell performances Statistics Reliability Large Array Demonstrator Prod. performances Bandwidth Endurance Manufacturability Cost Scaling Volume Production System spec. ngbit density Bandwidth Cost 02-04 05-07 >08 Years

BCD / High Voltage Gate (HVG) Product Fields A wide variety of applications covering a large voltage range 700V 200V 100V 80V AUTOMOTIVE INDUSTRIAL CONSUMER TELECOM AUTOMOTIVE INDUSTRIAL HIGH VOLTAGE BCD HIGH POWER BCD 60V 40V 20V AUTOMOTIVE INDUSTRIAL CONSUMER DISPLAY CONSUMER HARD DISK DRIVE HIGH DENSITY BCD HVG

BCD / HVG Process Roadmap (3 Gate Oxide) HVG6s(0.32 µm) 3.3V/5V CMOS 25V/40V MOS Videophone: 320RGBx240, ram-less, 17.75x1.19=21.12 mm² HVG8 (0.18 µm) 1.8V/5V CMOS 20V/32V/40V MOS Picture Phone: 240RGBx320, 16.7 Mcolors, 15.81x1.52=24.03 mm² LCD: 162RGBx132, 262Kcolors, 17.74x1.24= 22.07 mm² HVG9S (0.11 µm) 1.2V/5V CMOS 20V/32V/40V MOS HVG10 (0.09 µm) 1.2V/3.3V CMOS 20V/32V/40V MOS 2004 2006 2007 2009

System in Package (SiP) Integration Technologies Memory + Memory ASIC + Memory Stack Dice Package on Package (POP) Package in Package (PIP) SYSTEM Multichip Passives III-V MEMS Sensors

Beyond SoC, SiP Stacked dice Modules and Integrated Passive Devices High volume wireless applications

Stacked BGA: 8 Die + 7 Interposers (Package Height = 1.6mm) Thickness: Package 1.6mm Die 40 µm Interposer 40 µm 4.5 years faster than Moore s Law

Field Trip 2006 - London, May 23rd Manufacturing

4 Manufacturing Blocks and Locations 6 Ang Mo Kio (Singapore) Carrollton (Texas) Catania (Italy) Tours (France) NV Memories Agrate (Italy) Ang Mo Kio (Singapore) Catania (Italy) ST-Hynix JV in Wuxi (China) 8 System Oriented (Power Management, BCD, BiCMOS, MEMS, CMOS Imaging, Embedded Memories) Agrate (Italy) Ang Mo Kio (Singapore) Crolles 1 (France) Phoenix (Arizona) Rousset (France) 12 Advanced Logic Catania M6 (Italy) Ready for clean room Crolles 2 Alliance (France) + Foundry Partnership Agreements

CMOS LOGIC =< 0.13µ Wafer Outs (8 Equivalent) Q1 2005 Q1 2006 58% 42% 47% 53% Internal External

CMOS LOGIC =< 0.13µ Wafer Outs (8 Equivalent) 250 Base 100 Q4 2005 200 150 100 50 0 Q405 Q106 Q206e Q306e Q406e Internal External Three 300 mm & three 200 mm Fabs qualified

Crolles 2 Alliance going to a Larger Scale Critical mass 1200 people, 600 researchers Cumulative Capex: $1.6B by mid-2006 Technology leadership 12 Technology node: from 120 nm to 22 nm 2500 wafer starts per week in Q3 2006 Opportunity to expand full build out capacity

Crolles 2 Manufacturing Mix Evolution 1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 120nm to 90nm Ratio Jan-05 Mar-05 May-05 Jul-05 Sep-05 Nov-05 Jan-06 Mar-06 120 nm 90 nm

Singapore Technopark - 8 Equivalent Wafers (% output of total internal capacity) Base 100 Q4 2005 1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 Q4 2000 Q4 2001 Q4 2002 Q4 2003 Q4 2004 Q4 2005 Q4 2006e Singapore % Others %

Singapore Technopark

8 System Oriented Fabs Asset Utilization Leverage 350 300 250 Wafer starts / quarter 200 150 100 50 0 Q4 2003 Q4 2004 Q4 2005 Q4 2006e BASE 100 Q4 2003

NOR Flash Flash Manufacturing Volume production in 130nm of 2-bit per cell and 110nm 1-bit per cell at Singapore and Catania respectively Production of 90nm 2-bit per cell currently at Agrate/R2 and ramping-up in Singapore 65nm in qualification and 45nm in development at Agrate/R2 NAND Flash Volumes in 70nm from Hynix partnership Prototyping 60nm and developing 50nm in collaboration with Hynix Completing ST-Hynix JV new Fab in Wuxi, China

Cost Reduction Initiatives (Depreciation Rollover* and 8 & 12 Improvements) Double-digit wafer cost reduction in 8 and 12 target from Q106 to Q406 Approximately 2/3 of savings due to depreciation rollover Approximately 1/3 of cash savings from manufacturing efficiencies $100+ million of additional reduction in depreciation in 2007 Total Depreciation 8 & 12 Wafer Cost Reduction US$M 500 400 300 200 Q105 05: $1,846M Q205 Q305 Q405 Q106 06e: $1,700M Q206e Q306e Q406e COST INDEX - BASE 100 Q1 2006 Q1 06 Q2 06 Est Q3 06 Est Q4 06 Est 12 INCHES 8 INCHES AVERAGE *Based on approximate 1.25 Euro / $ rate and does not imply any guidance on 2007 CapEx

Conclusion ST s Technology and Manufacturing Machine supports our customer base through product innovation and efficient manufacturing The consolidation of the 6 Manufacturing Machine in Singapore offers a dimension of scale that enables low cost and reliable manufacturing along with the rapid introduction of new products ST s 8" system oriented technologies and Fabs are taking full advantage of new developments in high performance logic ST s flexible and responsive manufacturing structure is complemented with foundry partnerships to better serve our customer s needs by providing a stronger product pipeline CMOS Logic Advanced Technologies well-balanced between internal & external capacity A strong and motivated R&D/Manufacturing team is in place