International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November ISSN

Similar documents
AXI Compliant DDR3 Controller

Implementation of Interface between AXI and DDR3 memory Controller for SoC

High Performance AXI Protocol Based Improved DDR3 Memory Controller With Improved Memory Bandwidth

Architecture of An AHB Compliant SDRAM Memory Controller

Performance Evolution of DDR3 SDRAM Controller for Communication Networks

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy

Verification of AMBA AXI4 Protocol Using UVM

Design & Implementation of AHB Interface for SOC Application

Design and Implementation of AMBA AXI to AHB Bridge K. Lakshmi Shirisha 1 A.Ramkumar 2

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

EECS150 - Digital Design Lecture 17 Memory 2

Design and Verification of Configurable Multichannel

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp

Design and Implementation of High Performance DDR3 SDRAM controller

Computer Systems Laboratory Sungkyunkwan University

ISSN Vol.05, Issue.12, December-2017, Pages:

2. System Interconnect Fabric for Memory-Mapped Interfaces

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS

AXI and OCP protocol Interface for Sytem on Chip

DO-254 AXI 7 Series DDRx (Limited) 1.00a Certifiable Data Package (DAL A) General Description. Features. August 29, 2014, Rev. -

Design and Implementation of AXI to AHB Bridge Based on AMBA 4.0

ISSN Vol.03, Issue.02, March-2015, Pages:

Design and Implementation of Memory Controller for Real Time Video Display Using DDR3 SDRAM

Improved DDR3 SDRAM with Added Proficiencies

DDR SDRAM Bus Monitoring using Mentor Verification IP by Nikhil Jain, Mentor Graphics

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction.

The CoreConnect Bus Architecture

ISSN: [Mahajan* et al., 5(12): December, 2016] Impact Factor: 4.116

VLSI Design of Multichannel AMBA AHB

2GB DDR3 SDRAM SODIMM with SPD

Pooja Kawale* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3,

AMBA AHB Bus Protocol Checker

of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture

Design and Implementation of Refresh and Timing Controller Unit for LPDDR2 Memory Controller

UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER

7 Series FPGAs Memory Interface Solutions (v1.9)

An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

LogiCORE IP AXI Video Direct Memory Access v5.00.a

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a)

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER

Implementation and Performance analysis of SS Arbiter for. System on chip.

BUILDING AN AMBA COMPLIANT MEMORY CONTROLLER

Novel Design of Dual Core RISC Architecture Implementation

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a)

ISSN Vol.03, Issue.08, October-2015, Pages:

ECE 551 System on Chip Design

Increasing IP Reuse Ability by Using OCP-AHB Wrapper for SOC Integration

Design and Implementation of High-Performance Master/Slave Memory Controller with Microcontroller Bus Architecture

SOC Design Technique for AMBA AXI4 Using Verilog HDL

Design with Microprocessors

White Paper AHB to Avalon & Avalon to AHB Bridges

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS

ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 7: Memory Organization Part II

Design of AMBA Based AHB2APB Bridge

Implementation of Reduced Power Open Core Protocol Compliant Memory System using VHDL

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit)

Verification of Advanced High Speed Bus in UVM Methodology

DDR2 Controller Using Virtex-4 Devices Author: Tze Yi Yeoh

Chapter 2 The AMBA SOC Platform

Unit 3 and Unit 4: Chapter 4 INPUT/OUTPUT ORGANIZATION

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP

Design and Verification of Network Router

Introduction to the Qsys System Integration Tool

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0031 PCB PART NO. :

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL

Bandwidth, Area Efficient and Target Device Independent DDR SDRAM Controller

UG0644 User Guide. DDR AXI Arbiter. February 2018

COMPUTER ARCHITECTURES

High Performance Interconnect and NoC Router Design

THE synchronous DRAM (SDRAM) has been widely

TECHNOLOGY BRIEF. Double Data Rate SDRAM: Fast Performance at an Economical Price EXECUTIVE SUMMARY C ONTENTS

LogiCORE IP AXI Video Direct Memory Access v4.00.a

ECE 485/585 Microprocessor System Design

Design and Verification of High Speed SDRAM Controller with Adaptive Bank Management and Command Pipeline

Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus

2GB DDR3 SDRAM 72bit SO-DIMM

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

STANDARD I/O INTERFACES

IMPLEMENTATION OF DDR I SDRAM MEMORY CONTROLLER USING ACTEL FPGA

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit)

Architecture for Carbon Nanotube Based Memory (NRAM)

LogiCORE IP AXI DMA (v4.00.a)

Memory System Overview. DMA & Endian-ness. Technology. Architectural. Problem: The Memory Wall

Design of High Speed AMBA Advanced Peripheral Bus Master Data Transfer for Microcontroller

2.5V SEQUENTIAL FLOW-CONTROL DEVICE 36 BIT WIDE CONFIGURATION For use with 128Mb to 256Mb DDR SDRAM. IDT72T6360 Sequential Flow Control Device.

iimplementation of AMBA AHB protocol for high capacity memory management using VHDL

LogiCORE IP AXI DMA (v3.00a)

PrimeCell AHB SDR and SRAM/NOR Memory Controller (PL243)

DDR2 Dynamic Memory Controller (PL341) PrimeCell. Technical Reference Manual. Revision: r0p1

Design and Verification Point-to-Point Architecture of WISHBONE Bus for System-on-Chip

LE4ASS21PEH 16GB Unbuffered 2048Mx64 DDR4 SO-DIMM 1.2V Up to PC CL

Transcription:

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 74 Implementation of Interface between AXI Protocol and DDR3 Memory for SOC Y.Nageswara Reddy, A.Suman Kumar Reddy Abstract This project deals with implementation of interface for efficient SOC. It accepts the Read / write commands from AXI and convert into DDR3 access.axi protocol is an open standard on chip interconnect specification for the connection & management of functional blocks in SOC. In this project, an interface between a master (processor/user) & slave (DDR3 memory) was designed. This interface will transfer the data from master to slave & vice versa. This interface implemented is called as DDR3 Controller, Which is specially targated for the DDR3 memory Apart from the designing DDR3 controller, The communication is achieved between the master & the slave using various resd / write commands of AXI protocol.certain novel features of AXI protocol like variable length burst (from 1 to 16 data transfer per burst) & fixed wrapping burst are included in the design to achieve the data transfer.our design has been implemented with respect to latency reduction and improvement in various performance parameters and the design is simulated Modelsim Synthesized on Xilinix successfully. Keywords DDR3 memory, AXI interfaces, AXI access manager, DDR3 memories, AXI protocol operation. 1 INTRODUCTION The AXI compliant DDR3 Controller permits access of DDR3 memory through AXI Bus interface [1-4].The DDR3 controller works as an important bridge between AXI host and DDR3 memory.it takes care of DDR3 intialization and various timing requirements of DDR3 memory.the DDR3 controller performs multiple schemes to increase the the effective memory throughput [3].These sceame include and reordering the Read/Write commands. For attaining the maximum throughput from the memory, it operates all the memory banks in parallel and minimizes the effect of precharge/refresh and other DDR3 internal operations [2].Interface between AXI protocol and DDR3 memory for SOC Architecture The architecture of the design is shown in the fig.1. The design consists of following blocks- AXI interface AXI access Manager DDR3 Controller Fig.1 Interface between AXI protocol and DDR3 memory for SOC Y.Nageswara Reddy, PG Scholar, Department of Electronics and Communication Engineering, PBR Visvodaya Institute of Technology & Sciences, Kavali, Andhra Pradesh, India; nageswarareddy.y@gmail.com A.Suman Kumar Reddy, Associate Professor, Department of Electronics and Communication Engineering,PBR Visvodaya Institute of Technology & Sciences,Kavali,Andhra Pradesh,India;suman.vits@gmail.com A. AXI Interface: AXI-Interface block interacts with HOST processor and AXI access manager. It is responsible for accepting and interpreting the AXI commands issued by the processor and responding to Read / Write requests in AXI protocol as requested by processor. It also maintains an arbiter block which is responsible for arbitrating between Read/Write commands.arbitaration is required between commands because of parlell/independent of Read /

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 75 Write command received at AXI interface. It maintains asynchronous FIFO s to store the command and the data. The Read command gets stored in (Read Command Block), Write command gets stored in (Write Command block), Read data gets stored in (Read Data block), and Write data gets stored in(write data block).the stored commands are supplied to the AXI access manager whenever AXI access manager is free.now since the storage can have both read and write commands in the respective blocks hence it maintence an arbiter which arbiterates between Read/Write command and whenever burst manager is free one of the present command is supplied to the Burst Manager B. AXI Access Manager: The main function of the AXI-Access Manager is to convert the AXI commands into memory access commands for maximum utilization of the DDR3 Band Width. The DDR3 memory takes command only in burst 4 or 8 mode here as the AXI command could be a smaller or longer burst. The AXI access manager combines the command whenever possible to improve the performance and pasess the command to the DDR3 controller.to insure maximum throughput it prefetches the command from AXI interface converts into memory transactions and maintains locally.the stored commands are supplied to the DDR3 controller whenever DDR3 controller is not bustin the very next clock. The AXI-IF block interacts with the AXI interface block and receives commands. The received commands are stored in the Storage control block.the Burst Manager converts the AXI commans into DDR3 burst.the address control block is responsible for generation of address The overall operation of various blocks in the AXI access manager is controlled by the Control Logic. The fig.3 shows the AXI Access Manager Block Fig.3AXI Access manager block C. DDR3 Controller: The main function of DDR3 controller is to interact with the DDR3 memory. This is the heart of the AXI compliant DDR3 controller and responsible for understanding the DDR3 protocol and communicating with the DDR3 memory [4]. DDR3 Controller also issues Refresh, Power down, Self refresh command along with the read or write command as per the user configuration [1]. The internal blocks. of DDR3 controller are shown in the fig.4- Fig.2AXI Interface block

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 76 Fig.4 DDR3 controller block The Power down Control Block takes care of generating the power down command to the DDR3 memory whenever the host commands it to go to Power saving mode. The refresh control block takes cares the refreshing of DDR3 memory as per the user supplied configuration.the initialization control block take care of initializing DDR3 memory after reset. To control the timings of individual DDR3 banks it contains Bank manager which track the timing requirements for the individual DDR3 banks. The address control block is responsible for generating the address to the DDR3 memory. The Command control block interacts with the DDR3 memory and based on the Bank manager inputs drives the DDR3 bus.it also responsible for receiving the data during Read operation.the central bank coordinates between the individual Bank Managers and maintains the overall timing requirements of DDR3 meory. 3 TIMING DIAGRAM AND EXPLENATION 2 DDR FEATURES COMPARRISON The first signal represents the clock signal of the desifed frequency and time period. On the rising DDR3 offers a substantial performance improve over previous DDR2 & DDR memory systems. edge of the second clock pulse a request is sent by the client port. It lasts for two clock pulses and then New DDR3 features, all transparently implemented falls down. A differential clock pulse of the client s in the memory controller, improve the signal integrity charecteristics of DDR3 design so that the high- request with attributes lasts from the rising edge of client request to the falling edge of the same. This er performance is achieved without an undue burden for the system designer. If proper consideration gives all the information of the attributes. An acknowledge signalis then sent by the controller so is given to any new DDR2 memory design, it can be that the user can understand therequest has been a relatively easy upgrade to support DDR3 in the accepted by the controller. Then the differential signal consisting of the write data is sent to the client next generation design. port. The write data valid signal defines the data Table.1 DDR3 feature comaparrison that has to be written and that it is a valid data.the Write acknowledge signal makes it clear that the data has been received with bits D2,D3 being don t care condition. Fig.5 Timing Diagram

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 77 4 SIMULATION, TESTING & VERIFICATION Verification is important part of complete designprocess.it takes almost 60% of the design process flow so to minimize time to market in complete design we do verification process I parallel with the design process. The verification of AXI compliant DDR3 controller is accomplished by sending the AXI transaction through the AXI Bus Functional Model. The response from the AXI compliant DDR3 controller is received by the AXI Bus Functional Model and is passed to the Checker. The checker also picks the expected response from the Local memory and compares it based on the comparison the environment prints passed and failed messages and the associated data mismatch if any the verification process for implementation of interface between AXI protocol and DDR3 Memory for SOC is shown in Fig 6- Fig.7.1Simulation Results for Arbiter Address FIFO Fig.6 Verification ofddr3 controller output The AXI Bus Functional Model consists of generator, driver monitor and score board.the generator generates the AXI transactions Driver is used to drive the DUT (Device under Test) here DUT is AXI interface. The AXI transaction is also passed to the local memory which prepares the expected response which is passed to the checker whenever the checker asks for it. Upon receiving the response from the DDR3 memory checker commands the local memory to give back to the stored expected response and comares with output response with expected response. 5 SIMULATION RESULTS The bilow figures are snapshots of the Interface between AXI and DDR3 memory for SOC. And we can observe the individual block outputs and overall top level module put.various operation modes. The design has been coded in Verilog HDL language. The functional simulation tool used in 10.1c from Modelsim. Fig.7.2Simulation Results for Command Generator address FIFO Fig.7.3Simulation results for command scheduler data FIFO

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 78 Fig.7.4Simulation results for DDR3 memory The design has been verifird by the exhaustive functional verification.user transactions are transferred repeatedly, without any delayed between and its maximum operation frequency is 54.776MHz.The AXI interface is implemented with one master and one slave. This design supports AXI protocol (32 or 64 bit) data width, remapping, run time configurable timing parameters & memory setting, delayed writes, multiple outstanding transactions and also supports the automatic generation refresh sequences.the performance of design was examined by generating different types of AXI commands and nothing down the time taken by the the DDR3 controller in finishing them. 7 FUTURE IMPROVMENTS Future improvement in AXI interface block is to add more features like in burst, address remains all the same in every transfer in the burst. This burst type is for every repeated accesses to the same location such as when loading or emptying a peripheral FIFO and wrapping burst is similar to an incrementing burst, in that the address for each transfer in the burst is an increment of the previous transfer address. However in wrapping burst the address wraps around to a lower address when a wrap boundary is reached. The write response signal other then OKEY are EXOKAY SLVERR and DECERR. Future Improvement in DDR3 Controller is to add Reorder block in between AXI Access Manager and DDR3 controller block.the reorder block will enhance the performance of complete DDR3 controller because it sends same row address command then sends other row address command. When we switch the transaction from Row address X to other Row address Y first we have to close Bank corresponding to that Row address X means precharge that Bank and it take trp time to precharge the particular bank. So to achieve high performance we have to order the same row address command with coming data from AXI Interface block. But at this time we are not implementing this block because this is applicable when we are firing random row address command means that depend on open the customer requirements because this order block increase cost and size of the chip. REFERENCES [1] Churoo (Chul-Woo) Park, HoeJu Chung, Yun-SangLee, Jun-Ho Shin, Jin- Hyung Cho, Seunghoon Lee, Ki-Whan Song, Kyu-Hyoun Kim,Jung-Bae Lee,Changhyun Kim, Senior Member, IEEE, and Soo-InCho. A 512-Mb DDR3 SDRAM Prototype and Self-Calibration Techniques Proc [2] K. Kim et al, A 1.4 Gb/s DLL using 2nd order chargepumpscheme with low Fig.7.5 Simulation results for Top level output phase/duty error for high-speeddram application, in IEEE Int. Solid-state CircuitsConf. (ISSCC) Dig. Tech. Papers, 2004, pp. 212-523 [3] S.Lee et al, A. 1.6 Gbs/pin double data rate SDRAMwith wavepiplined CAS latency control, in IEEE Int.Solid-State Circuits conf. (ISSCC) Dig. 6 CONCLUSIONS Tech. Papers, 2004, pp.210-213. [4] H.Song et al, A 1.2 Gbs/pin double data rate SDRAMwith on die-termination, in IEEE Int. Solid-StateCircuits Conf. (ISSCC) Dig. Tech. Papers, 2003, pp.314-496.3915