Impact of mask line roughness in EUV lithography

Similar documents
Stochastics and the Phenomenon of Line-Edge Roughness

Investigation of interactions between metrology and lithography with a CD SEM simulator

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Using the Normalized Image Log-Slope, part 5: Development

2013 International Workshop on EUV Lithography Hanyang University

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

EUV Lithography and Overlay Control

OPC flare and optical modeling requirements for EUV

The Death of the Aerial Image

Optical Topography Measurement of Patterned Wafers

On the quality of measured optical aberration coefficients using phase wheel monitor

Overlay accuracy fundamentals

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

Hybrid hotspot detection using regression model and lithography simulation

SuMMIT Application Notes:

Photoresist Qualification using Scatterometry CD

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

Defect Repair for EUVL Mask Blanks

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech

Optimization of Photolithography Process Using Simulation

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

Overlay control methodology comparison: field-by-field and high-order methods

EUV telecentricity and shadowing errors impact on process margins

Outline. Abstract. Modeling Approach

Dynamic Performance of DUV Step & Scan Systems and Process Latitude

Pushing 193i lithography by Joint optimization of Layout and Lithography

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Materials for and performance of multilayer lithography schemes

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Optical microscope angular illumination analysis

Practical approach to full-field wavefront aberration measurement using phase wheel targets

Weighted least squares regression for advanced overlay control

Coupling of surface roughness to the performance of computer-generated holograms

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

A New Fast Resist Model: the Gaussian LPM

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

Line Pattern Collapse

A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process

Enhanced Lumped Parameter Model for Photolithography

Error Analysis in Inverse Scatterometry I: Modeling

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Designing projection objectives for 11-nm node of extreme ultraviolet lithography

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC.

Motif parameters based characterization of line edge roughness(ler) of a nanoscale grating structure

Photoresist Modulation Curves

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Iterative procedure for in-situ EUV optical testing with an incoherent source

EUV Lithography. Coming to your local IC manufacturer! Soon TM. Arenberg Youngster Seminar May 16, 2018 Vu Luong, PhD STUDENT

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool

Reducing shot count through Optimization based fracture

Image Degradation due to Phase Effects in Chromeless Phase Lithography

Computational Lithography Turning Physics into Yield

SMO Photomask Inspection in the Lithographic Plane

A SUPER-RESOLUTION MICROSCOPY WITH STANDING EVANESCENT LIGHT AND IMAGE RECONSTRUCTION METHOD

Patterned Wafer Geometry Grouping for Improved Overlay Control

HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR

Bringing Patterned Media to Production with Value Added Metrology

Evolution and Future of Critical Dimension Measurement System for Semiconductor Processes

CSPLAT for Photolithography Simulation

Introduction to Digital Image Processing

ENHANCEMENT OF DIFFUSERS BRDF ACCURACY

Flatness Compensation Updates/Challenges

Frontiers in CD-SEM metrology

DSA: How far have we come and how much further is left to go? Darron Jurajda

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

IMPLEMENTATION OF TEMPLATED DSA FOR VIA LAYER PATTERNING AT THE 7 NM NODE. IMEC, Kapeldreef 75, B-3001 Leuven, Belgium 2

Advanced Simulation Techniques for Thick Photoresist Lithography

OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING

New plasma processes for improved dimensional control and LWR for a 28nm gate patterning

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012

Modeling and Estimation of FPN Components in CMOS Image Sensors

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling

MICHELSON S INTERFEROMETER

Analysis of OPC Features in Binary Masks at 193nm

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography.

Confocal Raman Imaging with WITec Sensitivity - Resolution - Speed. Always - Provable - Routinely

Introduction to Diffraction Gratings

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Lithography Simulation

Improving OCD Time to Solution using Signal Response Metrology

DAMAGE INSPECTION AND EVALUATION IN THE WHOLE VIEW FIELD USING LASER

Determination of mask layer stress by placement metrology

SIMULATION AND VISUALIZATION IN THE EDUCATION OF COHERENT OPTICS

32nm and below Logic Patterning using Optimized Illumination and Double Patterning

LED holographic imaging by spatial-domain diffraction computation of. textured models

8/2/2016. Measures the degradation/distortion of the acquired image (relative to an ideal image) using a quantitative figure-of-merit

Sub-nanometer Broadband Measurement of Elastic Displacements in Optical Metrology Frames and Other Critical Elements

Low k 1 Logic Design using Gridded Design Rules

Measurement and characterization of EUV mask performance at high-na

Transcription:

Impact of mask line roughness in EUV lithography Alessandro Vaglio Pret a,b, Roel Gronheid a, Trey Graves c, Mark D. Smith c, John Biafore c a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b Katholieke Universiteit Leuven (K.U.Leuven), department of Electrical Engineering (ESAT), Kasteelpark Arenberg 10, B-3001, Heverlee (Belgium) c KLA-Tencor - Finle Division Austin, TX 78759 vaglio@imec.be ABSTRACT Resist line edge/width roughness is one of the most critical aspects in EUV lithography for the 32 nm technological node and below. It is originated by the uncertainties which characterize the lithographic process: source speckle effect, mask line and surface roughness, mirror roughness, flare effect and resist pattern formation all contribute to the final roughness. In this paper mask and resist line edge roughness were compared by means of frequency analysis on top-down SEM images: it was found that low frequencies mask roughness are well correlated with the Power Spectral Density of the resist roughness. Mask high frequencies components resulted less critical due to the natural cut-off of the optical system. Experimental data for both mask and resist were implemented in the PROLITH Stochastic Resist Model simulator to quantify the mask line edge roughness contribution to the final resist roughness: the results showed that 16% of the low frequency resist roughness component is originated at the mask level. For that reason, mask impact was set as 0.6 nm of the overall line edge roughness resist budget. Keywords: EUV Lithography, line edge/width roughness, power spectral density, optical system cut-off INTRODUCTION Resist platforms for Extreme UV (EUV) Lithography have not yet reached the ITRS 1 target for Line Edge/Width Roughness (LER, LWR) for 32 nm technological node and below. In fact, resist roughness is one of the major issues which still shadow the EUVL entrance in a future high production volume regime. Resist LER in EUVL arises from many contributions, one of those is the mask roughness itself. Previous experimental studies 2 and simulations 3 have shown that both mask surface and mask absorber roughness have a not negligible impact on the final printed pattern. However, in literature it was not found a quantitative evaluation of mask LER (LER M ) contribution to resist roughness. In this paper, experimental analyses of both mask and resist LER were matched with stochastic simulations performed by PROLITH Stochastic Resist Model (version X.3.2) software 4. Comparing simulation and experimental results, it was possible to identify and quantify the LER M contribution to resist for 36 nm half-pitch structures. In the first paragraph, roughness analysis of both EUV mask and resist are presented, mainly focused on Critical Dimension-Scanning Electron Microscopy (CD-SEM) top-down images and Power Spectrum Density (PSD) analysis 5,6. In the second paragraph, experimental results of resist and mask are compared. The third paragraph is dedicated to the simulation setting: resist modeling, PSD analysis of simulated resist, and mask contour-plot injection in PROLITH are reported. In last paragraphs, simulated and experimental results are compared, stressing the Low Frequency (LF) roughness importance in resist performance evaluation. METROLOGY SETTING For this experiment, 36 nm half pitch (@ 1X) line and space structure was exposed. A EUV Mo/Si multilayer mask with Ru capping layer and TaBN/TaBON absorber was used. The exposures were performed with the ASML Alpha Demo Tool installed at IMEC, with λ = 13.5 nm and a conventional illuminator with NA = 0.25 and σ = 0.5. SEVR-59 resist Extreme Ultraviolet (EUV) Lithography II, edited by Bruno M. La Fontaine, Patrick P. Naulleau, Proc. of SPIE Vol. 7969, 79691T 2011 SPIE CCC code: 0277-786X/11/$18 doi: 10.1117/12.879463 Proc. of SPIE Vol. 7969 79691T-1

with 65 nm thickness on Silicon was selected for its good performance in terms of resolution, LER and sensitivity 7. The dose to size for 36 nm line CD was 17.5 mj/cm 2. To evaluate both resist LER and LER M, top-down CD-SEM was used for image capturing (Figure 1), while the analysess were performed by the off-line software LERDEMO 8. In order to match the CD-SEM metrology between mask and resist evaluations, a Field of View (FoV) of ~ 0.7 x 0.7 µm 2 with pixel sizes of ~ 5 nm was set in the direction along the lines. In x direction (perpendicular to the lines), a smaller pixel size (~ 1 nm) was chosen to have a better resolution along the resist protrusion. For the sake of simplicity, all the dimensions are normalized @ 1X. Mask top-down CD-SEM image Resist top-down CD-SEM image Figure 1: 36 nm half pitch top-down CD-SEof ~ 0.7 x 0.7 µm 2 dimension (@ 1X) with rectangular pixel sizes of ~ 1 nm in x-direction (perpendicular to the lines) and 5 nm in y-direction (along the lines). images for EUV mask (left) and resist (right). After metrology match, both FoV correspond to a square-scan To perform a statistical analysis, 23 resist images ( ~ 140 lines) were taken 9, meanwhile only 3 CD-SEM mask images were captured ( ~ 30 lines) ), due to analysis limitations. Image averaging was necessary to reduce the noise, in particularr in the LF roughness region of the PSD. EXPERIMENTAL RESULTS Mask vs. Resist LER In Table 1, CD, CD Uniformity (CDU) and 3σLER for resist and mask are reported: all of them were evaluated on 0.7 µm line length. 3σLER l,r represents the average of left and right edge. With the used metrology, 3σLER uncertainty is less than 0.15 nm 9. CD (nm) CDU (nm) 3σLER l,r (nm) Resist 39.9 1.0 3.6 Mask 36.3 0.7 2.3 Table 1: top-down HITACHII CD-SEM analysis for resist and mask on 36 nm half pitch structure. In Figure 2, mask and resist PSD (respectively grey and black line) extracted by CD-SEM images are reported. Mask PSD normalization was necessary to overcome the different CD-SEM metrology (magnification, pixel sizes, pixel number) used for resist image capturing. The two PSDs appear to be similar in the low and mid frequency range, while for f > 20 µm -1 they tend to deviate. Considering the optical system cut-off, it is easy to explain why mask High Frequency (HF) roughness is relatively higher than on wafer: mask pattern is defined by electron-beam lithography, a process without any optical cut-off, and thus a more white-noise behavior of the final PSD is generally expected. Then, resist response and mask etch processess contribute to lower mid and high frequency roughness, resulting in the grey PSD plotted in Figure 2. When the mask is used in an optical lithography process, the pattern formation on wafer is modified by an incomplete optical Proc. of SPIE Vol. 7969 79691T-2

reconstruction of the mask image. Therefore, during the exposure, several frequency cut-offs occur due to the finiteness of the optical component. The two that mostly affect the aerial image formations, with conventional illumination, are 1 st diffraction orders deformation: 9.3 μ when the 1 st diffraction orders start falling outside the pupil. It represents the lowest mask frequency transmitted into the resist for which the aerial image is fully modulated by the 1 st diffraction orders. Maximum frequency transmitted by the optical system: 27.8 μ when the 1 st diffraction order is entirely outside the pupil. It represents the highest mask frequency that can be transmitted into the resist. The inverse of the f MAX gives the minimum printable pitch. Figure 2: averaged PSDs of top-down CD-SEM images for mask and resist (grey and black line). The two vertical arrows represents the cut-offs of the EUV optical system: f min and f MAX. Only mask frequency lower than f min are well transmitted into the resist, meanwhile f > f MAX are completely cut-off by the optical system itself. Hence, a natural roughness mitigation effect from mask to resist in the HF region is expected. For f > 40 µm -1 (25 nm on wafer), CD-SEM noise flatten the curves, prevailing on LER. SIMULATIONS RESULTS Ideal vs. real mask exposures To evaluate which is the LER M impact on resist, simulations with ideal and real mask line/spaces contour plot were compared. PROLITH Stochastic Resist Model was used to quantify the mask line roughness impact on resist. Firstly, the simulator was set in order to reproduce the experimental results (Table 1, first line), proceeding with the same metrology used for the real exposures. Resist modeling, exposure conditions, number of analyzed lines, and real mask contour plot extracted by CD-SEM images (Figure 1, left) were accurately reproduced to match the simulated results (Table 2) with the results obtained on Silicon. CD, CDU and 3σLER values were fairly reproduced by the stochastic simulator. Proc. of SPIE Vol. 7969 79691T-3

Real mask stochastic simulation Ideal mask stochastic simulation Aerial image contrast (average along 0.7 µm lines) Aerial image NILS (average along 0.7 µm lines) CD (nm) CDU (nm) 3σLER l,r (nm) Simulated resist with real mask 0.86 3.98 38.9 0.8 3.7 Simulated resist with ideal mask 0.86 3.96 38.9 0.6 3.1 Table 2: top: 36 nm half pitch PROLITH Stochastic Resist Model simulations with real (left) and ideal (right) mask contour plot. Bottom: averaged simulated results on 36 nm half pitch structure. Simulations were run with both real and ideal mask contour plot. Secondly, the same simulations were re-run with an ideal mask contour plot without any roughness (Table 2, last line): resist lines simulated with real mask contour plot showed higher LER, and in some cases µbridging formation, absent in the simulations with ideal mask. After averaging ~ 140 simulated lines, 16% LER difference was found between the two set of simulations. LF mask roughness may explain the CDU difference, too. Figure 3: averaged PSDs of simulated resist with real ideal mask contour plot (dotted dark grey- and light-grey line). The solid grey line corresponds to the PSD of the CD-SEM image of the mask used for the simulation (Figure 1, left). Proc. of SPIE Vol. 7969 79691T-4

Thirdly, PSDs of the simulated resists with real and ideal mask contour plot were compared (Figure 3). It is clearly visible that the 16% LER difference between real and ideal mask simulation, respectively in dotted dark- and light-grey lines, falls in the LF region, before f min,. Due to the equal aerial image quality in terms of Contrast and NILS (Table 2),the observed difference is entirely caused by LER M. PSD differences were found till f MAX, after that the mask roughness frequencies are completely cut-off by the optical system. At last, the PSD of the mask CD-SEM image used in the simulation (Figure 1, left) is plotted in the same graph (solid grey line): simulated resist with real mask contour plot PSD and the PSD of the mask image overlap perfectly in the LF region. The PSD superimposition is evident till the 1 st diffraction order starts falling outside the pupil (f f min ). This overlap disappears gradually moving towards higher frequencies till f MAX. This demonstrates that before f MAX, LER M is highly transferred to the wafer in EUV systems. It is useful to point out that a longer mask FoV (line length > 0.7µm) would allow for the detection of lower mask frequencies (f < 1.4 µm -1, the first frequency analyzed in the PSD spectra), with higher impact on resist LER. The lower the considered mask frequency, the more consistent the image reconstruction through the optical system will be. RESULTS AND DISCUSSIONS MASK LER M impact on EUV resist In Figure 4 the PSDs of Figure 2 and Figure 3 are compared in the same graph. Three main effects are observed: the only curve that underestimate LF roughness is the PSD obtained simulating the resist roughness with the ideal mask (dotted light-grey line). On the opposite, simulated resist with real mask (dotted dark-grey line) is well in line with the experimental PSD curve (solid black and grey lines). for f > 40 µm -1 simulated PSDs rapidly drop (dotted curves), due to the absence of CD-SEM metrology noise. for 12 µm -1 < f < 40 µm -1 both the simulated PSDs overestimate the experimental resist PSD. Further investigations are required to establish the origin of this effect. 100 Experimental vs simulated PSD f min cut-off f MAX cut-off 10 PSD (nm^2)*pixel size 1 0.1 Experimental resist Experimental mask Simulated resist with real mask Simulated resist with Ideal mask 1 10 100 freq (µm^-1) Figure 4: averaged PSDs of top-down CD-SEM images for mask and resist (solid grey and black line). The two dotted curves represent the averaged PSDs of simulated resist with real and ideal mask contour plot (dark- and light-grey line). Proc. of SPIE Vol. 7969 79691T-5

For PSD comparison, it was calculated that the 16% LER difference between the simulations with real and ideal mask would correspond to 0.6 nm LER (roughly 1 nm LWR considering uncorrelated lines) in experimental exposures. The mask roughness impact would be mainly in the LF region, where no one of the known smoothing techniques showed any improvement 10. CONCLUSIONS In order to quantify mask line edge roughness impact on resist performance in EUV lithography, experimental results have been bridged with stochastic simulation for 36 nm half-pitch exposure. With the implementation of real mask CD-SEM image contour plot in PROLITH Stochastic Resist Model (Table 2), it was possible to evaluate how the mask absorber roughness is transmitted into the resist. It was found that resist LER decreases by 16% if an ideal mask is used in the simulation instead of a real mask contour plot. Roughness reduction was mainly noticed in the low frequency region, particularly detrimental for inter-transistor performance 11, CDU and overlay budget. Moreover, roughness frequencies in this range are hardly improved by any known smoothing technique 10. Through a comparison of experimental and simulated PSDs for both mask and resist, it was possible to quantify that the mask low frequency roughness impact would be 0.6 nm of the overall resist LER budget (roughly 1 nm LWR). To keep pace with the Moore s law, resists with lower intrinsic roughness, and optical systems with higher frequency cut-off will be needed. In this scenario, mask roughness will represent a growing segment of the resist roughness specification. ACKNOWLEDGEMENT The authors thank Gian Franco Lorusso (IMEC) for the help in metrology matching, Matthew Lamantia (Toppan) for the mask CD-SEM pictures, and Konstantinos Garidis (KTH) for his support with Prolith simulations. REFERENCES 1 http://www.itrs.net/ 2 Vaglio Pret, A., Gronheid, R., Mask line roughness contribution in EUV lithography, Microelectronic Engineering, in publication (2010) 3 Naulleau, P. P., Gallatin, G. M., Spatial scaling metrics of mask-induced line-edge roughness, J. of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 26, issue 6, pp. 1903 1910 (2008) 4 Biafore, J. J., Smith, M. D., Blankenship, D., Robertson, S. A., van Setten, E., Wallow, T., Deng, Y., Naulleau, P. P., Resist pattern prediction at EUV, Proc. SPIE 7636, 76360R (2010) 5 Naulleau, P. P., Gallatin, G. M.: Line-Edge Roughness Transfer Function and its Application to Determining Mask Effects in EUV Resist Characterization, Applied Optics, vol. 42, issue 17, pp. 3390-3397 (2003) 6 Vaglio Pret, A., Gronheid, R., Vandeweyer, T., Ishimoto, T., Line width roughness mitigation in chemically amplified resist by post-litho processes, Microelectronic Engineering 87, pp. 1127-1130 (2010) 7 Gronheid, R., Vaglio Pret, A., Rathsack, B., Hooge, J., Scheer, S., Nafus, K., Shite, H., Kitano, J., EUV RLS Performance Tradeoffs for a Polymer Bound PAG Resist, J. Micro/Nanolith. MEMS MOEMS, vol. 8, issue 2 (2009) 8 Patsis, G. P., Constantoudis, V., Tsikrikas, N., Gogolides, E., Photoresist line-edge roughness analysis using scaling concepts, J. Microlith., Microfab., Microsyst, vol. 3, issue 3, pp. 429-435 (2004) 9 Vaglio Pret, A., Gronheid, R., Ishimoto, T., Sekiguchi, K., Resist roughness evaluation and frequency analysis: metrological challenges and potential solutions for extreme ultraviolet lithography, J. Micro/Nanolith. MEMS MOEMS, vol. 9, issue 4 (2010) 10 Vaglio Pret, A., Gronheid, R., Foubert, P., Roughness characterization in the frequency domain and linewidth roughness mitigation with post-lithography processes, J. Micro/Nanolith. MEMS and MOEMS, vol. 4, issue 4 (2010) Proc. of SPIE Vol. 7969 79691T-6

11 Poliakov, P., Blomme, P., Vaglio Pret, A., Miranda Corbalan, M., Van Houdt, J., Dehaene, W., Bridging Lithography processes and ECC complexity, IMW (2010), in publication Proc. of SPIE Vol. 7969 79691T-7