Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Size: px
Start display at page:

Download "Automated aerial image based CD metrology initiated by pattern marking with photomask layout data"

Transcription

1 Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga 4 1 Mentor Graphics Corp., San Jose, CA USA 2 Samsung Electronics Co. Ltd., Korea 3 Carl Zeiss SMS GmbH, Jena, Germany 4 Carl Zeiss SMT Inc., Peabody, MA USA ABSTRACT The photomask is a critical element in the lithographic image transfer process from the drawn layout to the final structures on the wafer. The non-linearity of the imaging process and the related MEEF impose a tight control requirement on the photomask critical dimensions. Critical dimensions can be measured in aerial images with hardware emulation. This is a more recent complement to the standard scanning electron microscope measurement of wafers and photomasks. Aerial image measurement includes non-linear, 3-dimensional, and materials effects on imaging that cannot be observed directly by SEM measurement of the mask. Aerial image measurement excludes the processing effects of printing and etching on the wafer. This presents a unique contribution to the difficult process control and modeling tasks in mask making. In the past, aerial image measurements have been used mainly to characterize the printability of mask repair sites. Development of photomask CD characterization with the AIMS tool was motivated by the benefit of MEEF sensitivity and the shorter feedback loop compared to wafer exposures. This paper describes a new application that includes: an improved interface for the selection of meaningful locations using the photomask and design layout data with the Calibre Metrology Interface, an automated recipe generation process, an automated measurement process, and automated analysis and result reporting on a Carl Zeiss AIMS system. 1. INTRODUCTION Photomask metrology activities have traditionally been based on measuring the physical dimensions of printed features. Optical-based photomask metrology systems have given way to critical dimension scanning electron microscopes (CD- SEM), which exhibit superior imaging resolution and stability. Utilizing a top-down measurement approach, CD-SEMs provide a quick and accurate measurement. Results are then analyzed to show CD uniformity (CDU), mean-to-target (MTT), and linearity performance of the photomask. Photomask makers are given specifications for these parameters that must be met by the mask manufacturing process. These specifications are driven by the ever-increasing complexity of photolithography needed to meet device performance targets. Wafer fab engineers generally speak about Across Chip Linewidth Variation (ACLV), which describes the CD variation across a single chip on the wafer. CD variation, when large enough, begins to degrade the chip electrical performance, even to the point of non-functionality 1. It is important to understand the contributors in the manufacturing process that make up ACLV. Concentrating on photomask manufacture, we will not consider here the wafer manufacturing effects of resist and etch processing. The remaining contributors that have been identified are typically grouped into two separate categories: imaging variation and photomask variation.

2 Imaging variation includes focus error, dose error, optical aberrations, and flare 2. Due to the nature of lithography, identifying the amount of imaging variation contributing to ACLV can be difficult. Research has been reported of replacing the wafer with an aerial image sensor as a measurement option. A more realistic approach is to fully characterize the ACLV contribution from photomask variation and subtract that value from the total ACLV to arrive at an approximation for imaging variation. The photomask variation component of ACLV includes factors such as CD uniformity, phase error, transmission error, sidewall angle deviation, surface roughness, and material properties such as birefringence. Since the photomask is typically manufactured by a vendor, the wafer fab minimizes the photomask contribution to ACLV by specifying strict CD uniformity (CDU) performance when the order is placed. The past assumptions of a simple relationship between photomask uniformity and ACLV have broken down with the extension of technology past previous optical limits with phase shifting techniques (PSM), reticle enhancement techniques (RET) and advanced scanner illumination patterns. The number of these factors continues to increase. For example, it has also been observed that the increased incident angle of illumination due to large numerical aperture imaging with off-axis illumination influences the Mask Error Enhancement Factor (MEEF), as seen in Figure-1. The photomask topography becomes a significant factor in the MEEF of very small features. The successful application of aerial image emulation for CDU measurement has been demonstrated previously, as a method to include many of the complex imaging factors into the mask CD measurement (Poortinga, et al. 6). An additional example of the practical application of AIMS TM CD measurement is shown in Figure-2. The next logical step is the development of application enhancements automating measurement setup using the mask and design data, within the demands of a production environment. Traditionally, aerial image metrology systems are used to evaluate defect printability and repair success. The measurement coordinates are provided by a photomask inspection system or repair tool. Throughput is not necessarily a high priority for defect printability because the number of measurements is typically low. The analysis of defects and repairs is normally completed manually by an engineer. Using aerial image metrology for production CD measurement requires a different approach. To maximize the benefit of aerial image based CD metrology, it is advantageous to use the photomask layout as the initiator of the measurement process. Knowing that different photomask patterns print differently, it makes sense to measure only those features that have the highest potential to contribute to CD variation. Once identified, the positions of those features can be used to set up the measurement process. This motivates the development of a direct link from the design data into the measurement setup. Throughput becomes a primary concern as the demand for CD measurements is much greater than for defect evaluation, leading to the requirement for automation of setup and measurement. Increasing efficiency further requires the analysis to also be completed automatically. Lastly, the generated result data should then be presented to the user in a way that allows quick and concise evaluation of the photomask performance. 2. SOFTWARE DEVELOPMENT PROCESS The project described in this paper sets out to explain how the process of mask design verification is implemented and how it is used. The process flow is separated into two distinct sections, one used to setup the measurement positions as a Front-end Job Specification using the design data, and the other is a Back-end Measurement Module for measurement acquisition and analysis. As seen in Figure-3, the process flow is cyclical, with the measurement results being used to make manufacturing decisions and potentially used to influence further design verification measurements. 2.1 Front-end Job Specification The front-end job specification system is the Calibre Metrology Interface (CMi). It runs on an off-line workstation to create measurement input files, which the Zeiss AIMS DFM system uses to make automated aerial image CD measurements. CMi runs within Calibre WORKbench, a layout viewer from Mentor Graphics that provides aerial image simulation and model development, as well as graphical viewing, processing, and editing (Figure-6). A new

3 library of Metrology Application Programming Interface (MAPI) functions (part of the WORKbench Tcl programming language) has been used to construct CMi. The fundamental requirement for CMi is to create specific instructions for the AIMS system to collect large numbers of CD measurements. The system must be robust, efficient, and accurate. The two major inputs to CMi are measurement locations and the mask layout. The sources of measurement locations are varied. For example, tools like OPC verification may identify hot-spot locations from analysis of the layout 7, or designers may pass on layout knowledge in a list of coordinates on a sheet of paper. The sources have been reduced to three basic alternatives for location marking input into CMi: 1) interactive drawings 2) a coordinate list (CSV spreadsheet file Figure 5) 3) GDS file shapes These alternatives provide convenient interfaces to use the results from Calibre OPCverify, and a variety of other Mentor Calibre analysis tools. Marking is best done in the chip layout, where multiple layers are available for guidance, and cell hierarchy is present for economy. When the other layers of the chip layout are present, the user can identify critical structures more easily. For example, the gate regions are formed where poly layer crosses the diffusion layer. Since the output context for mask measurement is the mask layout, a standard MEBES jobdeck is loaded into the viewer. The fractured mask patterns are visible in the jobdeck. The multi-layer hierarchical chip layout files (standard OASIS or GDS) can be overlayed onto the mask for additional marking guidance. In addition, the chip hierarchy provides a convenient and efficient framework for marking repeated measurements. Measurement locations that are input in the chip context are automatically converted to mask context by CMi. The chip layout is not required, however. Once the locations and layout are identified, the layout view is updated, and the user may graphically review the job specifications. Spreadsheet-input Markers and Regions are rendered into the layout for review, along with those present in the input layout. Any existing markers may be adjusted in the layout, or more may be drawn by the user at this point. When the inputs have been accepted, the translation is started. First, the marked locations are validated for measurement clearances in the mask layout. Any specified searches for measurement locations are also performed. Then, aerial images are automatically simulated, using the same optical conditions as AIMS, to be used during the AIMS acquisition process. The resulting validated locations can be graphically reviewed, and the job modified and re-run to the user's satisfaction. The output from CMi is an AIMS measurement input file written in standard XML format. It includes the specifications of all capture and measurement locations. Group and region designations are attached to the locations, and then transferred to the measurement tool to facilitate later analysis. Alignment locations and images are included. A critical development item was the format of the measurement input file interface from CMi to AIMS. Due to its simplicity and industry acceptance, the XML format was chosen. 2.2 Back-end Measurement Module An important element is the consideration of the steps required at the AIMS as an embedded part of the whole process. The AIMS software implements the process in three steps. The first step is referred to as the DFM Job Assembler. It creates, based on the given input data from Mentor Graphics CMi software, a so-called AIMS job. That job controls the remaining DFM processes. All parameters (for example, the lithographic settings, which are necessary for the capture process, but not contained inside the CMi output file) are supplied by a predefined template. That template is a common AIMS recipe, which is selected by the user. Recipes are commonly shared between jobs.

4 The second step involves a series of dialog windows referred to as the DFM Image Capture Wizard. The wizard acquires AIMS images based on the created AIMS Job file in an automatic process. A special feature of that process is the possibility of increasing positioning accuracy by using a local alignment before final image acquisition. This feature increases the stage position accuracy by using a simulated aerial image as an alignment image for each capture field. The alignment image and its position in mask coordinates are included in the measurement input file, which was generated by the CMi software previously. After capturing an AIMS image, the geometric difference vector between the known position of the simulated image and the real stage position is calculated by a correlation algorithm. Then the stage is re-positioned regarding this difference vector. The position correction is necessary to allow a fully automated process of extracting measurement values in the following process step. It can also be disabled for systems that have stages with a sufficient positional precision. Another special feature is the support of a new type of AIMS image file. The new file type (with the extension.ai3 ) is able to store more than 4GB of data. The traditional AIMS file format (.msm) is limited due to its architecture. Using the new file type, it is possible to capture a large number of AIMS images in a single measurement run. The third step involves the DFM Measure Analysis Wizard. It extracts the measured values in a fully automated process from the captured AIMS images of the previous step. The defined measurement positions, which indicate where the measurement slices have to be drawn, are also part of the DFM input data set from the Mentor Graphics CMi software. To ensure the necessary positioning accuracy, the local alignment is repeated in this step. Using the same correlation algorithm as before, the remaining difference vector between the simulated alignment image and the captured AIMS image is determined. The placement of the measurement slices is shifted by that difference vector. The local alignment is executed individually for every captured AIMS image. All slices are then placed exactly at the intended positions. For every measure position, analysis is performed to provide the following values: a set of CD values (one per image plane and measure position) a set of NILS values ( Normalized Image Log Slope - one per image plane and measure position) a Depth of Focus (DOF) value dependent on a given, but changeable EL value an Exposure Latitude (EL) value dependent on a given, but changeable DOF value Concerning the new calculation of CD- and NILS- values for all image planes, it is now possible to iterate through the relating CD- and NILS- maps using the DFM Measure Analysis Wizard. The new Exposure Latitude-map can be adjusted by changing the related DOF value. The new DOF-map can be adjusted by changing the related EL value. All measured values (CD, NILS, EL, DOF), all relevant input values (target-cd values, CD-tolerance values, analysis group names), and all results of the comparison between target value and measured CD value (including tolerance range) are shown in a comprehensive result data table. Additionally, there is a short statistical overview containing the minimum value, the maximum value, and the mean value for every type of measured value. There are three kinds of output at the third step: map image, result table, and modified job definition. Every map can be manually exported as a bitmap (.bmp) image file. The result table can be exported as a set of CSV table files one per image plane. Both types of files are generated automatically at the end of this process. It is also possible to store additional snapshots for manually adjusted positions both as bitmap files and as CSV files. Every measurement can be assigned to one or multiple analysis groups, which were defined with the CMi front-end software. Measurements can be enabled or disabled by group during the analysis phase at the AIMS software, as well as in subsequent analysis steps. It is convenient to import the generated CSV result file for viewing into a spreadsheet application like Microsoft Excel. The third kind of output is a modified job definition XML file which can be optionally used in a subsequent measurement run as a modification of the CMi-generated input data set. It replaces the target CD values for the next run with the current result CD values. This option makes it possible to observe a photomask performance over time by executing periodically both Step-2 and Step-3. The first and third step do not need any direct access to an AIMS tool and can be done at an engineer s desktop. Only the second step the image acquisition step has to be executed at the AIMS tool. Tool utilization can be optimized by performing the setup and analysis steps off-line.

5 3. RESULTS Validation of the proposed metrology process methodology and software development work was completed using a Mentor Graphics Calibre WORKbench workstation and a Carl Zeiss AIMS fab193i system within a photomask manufacturing facility. This system is capable of measuring advanced photomasks down to the 65nm node using immersion lithography settings up to 93NA. The performance of the AIMS fab193i is well documented in published work by Zibold, et al 5. The overall flow is shown in Figure-2. Using the layout of a production photomask, measurement was opened using WORKbench and the CMi module (Figure-4). Measurement locations were drawn within the chip (Figure-3 and Figure-4) on the photomask and then arrayed to the remaining cells. (It is also common to use CSV table format for input and output of marker locations, as shown in Figure-5.) The mask layout was configured with the chip patterns in a 4x2 array. The total number of measurements for the job shown equaled 125, with 15 specific features being investigated. CMi automatically generated the required simulated alignment images to be used for fine positioning of the AIMS tool. Alignment images can automatically be binned so that repeating structures can share a single alignment image to reduce file size requirements. During the validation phase, corresponding target CD values were extracted from the layout for each measurement position. The XML-based CMi output file was generated. The XML and simulated image results are shown in Figure-7. The corresponding photomask was loaded onto the AIMS fab193i for measurement acquisition. The CMi output file and an AIMS template recipe were combined to create a DFM job definition file. The lithographic settings used were 93NA and annular illumination setup. The measurement image sizes were approximately 20x20 microns mask-level. Each position was captured through-focus using 7 focal planes with a 1.6 micron mask-level step size. The DFM job was executed and the acquisition of the 125 images took 3 hours and 55 minutes. At roughly 32 measurement sites per hour, this equals a small reduction in the normal throughput rate of the AIMS fab193i of 40 sites per hour. The decrease is due to the pre-acquisition alignment process that occurs to increase measurement reliability. Analysis of the DFM job image file was executed using the AIMS Job Image Analysis wizard. An intensity threshold was determined using a sample of the acquired images (Figure-9). The Linewidth vs. Threshold plot was used to find a threshold that corresponded to the target CD value. Several positions were evaluated so that an average threshold could be calculated by the wizard software. Once this step was completed, the results of all measurement positions were calculated using the averaged threshold. These results were available in table format. Specific groups of features were available to be selected and plotted in CD and NILS distribution plots (Figure-10). Total analysis time from the point of loading the image file to the point of having the result table generated was 7 minutes. In contrast, manually analyzing 125 images would roughly take 4 or more hours. The substantial increase in analysis efficiency more than offsets the slight decrease in measurement throughput. Once the result table is generated, the user has the ability to sort and display the data in many ways. Macro and micro CD variation can be examined to determine effects of various process changes. The results can also be compared to wafer-level resist CDU plots to determine the amount of photomask contribution to ACLV. By using the option to export the results in a text format, further analysis can be performed using other applications. By providing reliable measurement locations with alignment images, and eliminating much of the manual effort involved in the AIMS operation, we increased the observed system throughput by 40% (Figure-11). 4. CONCLUSION A production-ready solution has successfully developed and deployed to link the mask layout data to the AIMS metrology system. The application of Carl Zeiss and Mentor Graphics tools allows for a more detailed inspection of the mask lithographic performance with real-time feedback of results. A flexible software interface allows both patternand region-based analysis of sub-cell patterns. This new application has demonstrated a significant improvement in the efficiency of photomask mask quality control activities.

6 ACKNOWLEDGEMENTS The authors would like to thank all of the team members at Samsung, Carl Zeiss, and Mentor Graphics who helped to make this project successful. REFERENCES 1. Stine, et al, Simulating the Impact of Pattern-Dependent Poly-CD Variation on Circuit Performance, Proc. IEEE Vol. 11 #4, Transactions on Semiconductor Manufacturing, Hector, et al, Evaluation of the critical dimension control requirements in the ITRS using statistical simulation and error budgets, Proc. SPIE Vol. 5377, Optical Microlithography XVII, , Progler and Xiao, Critical evaluation of photomask needs for competing 65-nm node RET options, Proc. SPIE Vol. 5040, Optical Microlithography XVI, Poortinga, et al, Investigation of Hyper-NA Scanner Emulation for Photomask CDU Performance, Proc. European Mask and Lithography Conference, Zibold, et al, Advances with new AIMSfab193 2 nd generation: a system for the 65nm node including immersion, Proc. SPIE Vol. 5853, Photomask and Next Generation Lithography Mask Technology, Poortinga, et al, Improved prediction of Across Chip Linewidth Variation (ACLV) with photomask aerial image CD metrology, Proc. SPIE Vol. 6349, Photomask Technology, Lucas, et al, Reticle enhancement verification for the 65nm and 45nm nodes, Proc. SPIE 6156, 61560R, 2006 Mask Screen effect Pattern Slope Dependency A etch t Cr t Cr σna θ sinθ = M A I M S In te n si ty t h B etch MTT (nm, SEM Figure-1: Example of mask topology effects as one of the motivating factors for AIMS TM CD measurement

7 MTT (nm) CD measurement in OPC point AIMS ADI ACI AIMS y = x R 2-80 = ADI Figure-2: An example of the practical validity of AIMS TM CD measurement: Process targeting at critical locations removes small maskmaking bias, but leaves residual errors in other features. AIMS measurements correlate well with resist measurements across different mask locations. Mask layout & Reticle Mentor Graphics CMi selects from the design the critical structures AIMS DFM analysis package captures critical structures on the mask Mask judgment And Lithographic evaluation Judge center Automatic analysis determines CD and NILS values of the critical patterns Result file and DFM map is used for pattern characterization Figure-3: Metrology Process

8 Figure-4: Mask Layout with Markers Displayed Figure-5: CSV Table of Markers on Mask Figure-6: CMi and Close-up of Markers (optional input/output format) Figure-7: XML and Simulated Aerial Images Output from CMi

9 Figure-8: AIMS Emulated Aerial Images in multi stack MSM file Figure-9: AIMS DFM analysis

10 Figure-10: AIMS DFM results Figure-11: Improved CD Measurement Process

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Hyunjo Yang* a, Jungchan Kim a, Taehyeong Lee a, Areum Jung a, Gyun Yoo a, Donggyu Yim a, Sungki Park a, Toshiaki Hasebe

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

Interaction and Balance of Mask Write Time and Design RET Strategies

Interaction and Balance of Mask Write Time and Design RET Strategies Interaction and Balance of Mask Write Time and Design RET Strategies Yuan Zhang a, Rick Gray b, O. Sam Nakagawa c, Puneet Gupta c, Henry Kamberian d, Guangming Xiao e, Rand Cottle e, Chris Progler a Photronics

More information

Process Transfer Strategies between ASML Immersion Scanners

Process Transfer Strategies between ASML Immersion Scanners Process Transfer Strategies between ASML Immersion Scanners Yuan He, Peter Engblom*, Jianming Zhou, Eric Janda*, Anton Devilliers, Bernd Geh**, Erik Byers, Jasper Menger**, Steve Hansen*, Mircea Dusa*

More information

Contour LS-K Optical Surface Profiler

Contour LS-K Optical Surface Profiler Contour LS-K Optical Surface Profiler LightSpeed Focus Variation Provides High-Speed Metrology without Compromise Innovation with Integrity Optical & Stylus Metrology Deeper Understanding More Quickly

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

SMO Photomask Inspection in the Lithographic Plane

SMO Photomask Inspection in the Lithographic Plane SMO Photomask Inspection in the Lithographic Plane Emily Gallagher 1, Karen Badger 1, Yutaka Kodera 2, Jaione Tirapu Azpiroz 3, Ioana Graur 3 Scott D. Halle 4, Kafai Lai 3, Gregory R. McIntyre 4, Mark

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Jin Choi, In-Yong Kang, Ji Soong Park, In Kyun Shin, and Chan-Uk Jeon Samsung Electronics Co., Ltd, San

More information

Lithography Simulation

Lithography Simulation Stepper Laser Proximity e-beam Lithography Simulation Enable next generation products and faster development by computational design and process optimization www.genisys-gmbh.com Source Condenser Mask

More information

Frontiers in CD-SEM metrology

Frontiers in CD-SEM metrology Frontiers in CD-SEM metrology abeam Technologies, Inc. Dr. Sergey Babin, sb@abeamtech.com Hayward, CA, USA CD-SEM in semiconductor CD-SEM is an indispensable part of the semiconductor industry In volume

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography.

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Charles Howard a, Keun-Taek Park a, Marcus Scherer b, Svetomir Stankovic b, Rusty Cantrell b, Mark Herrmann b a DuPont Photomasks,

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Strengthening the leadership

Strengthening the leadership Strengthening the leadership Press conference, SEMICON West 2005 Martin van den Brink, Executive Vice President ASML / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

Image Degradation due to Phase Effects in Chromeless Phase Lithography

Image Degradation due to Phase Effects in Chromeless Phase Lithography Image Degradation due to Phase Effects in Chromeless Phase Lithography Karsten Bubke *, Martin Sczyrba, KT Park, Ralf Neubauer, Rainer Pforr 2, Jens Reichelt 2, Ralf Ziebold 2 Advanced Mask Technology

More information

Overlay control methodology comparison: field-by-field and high-order methods

Overlay control methodology comparison: field-by-field and high-order methods Overlay control methodology comparison: field-by-field and high-order methods Chun-Yen Huang a, Chui-Fu Chiu a, Wen-Bin Wu a, Chiang-Lin Shih a, Chin-Chou Kevin Huang* b, Healthy Huang c, DongSub Choi

More information

Source Mask Optimization (SMO) at Full Chip Scale using Inverse Lithography Technology (ILT) based on Level Set Methods

Source Mask Optimization (SMO) at Full Chip Scale using Inverse Lithography Technology (ILT) based on Level Set Methods Source Mask Optimization (SMO) at Full Chip Scale using Inverse Lithography Technology (ILT) based on Level Set Methods Linyong Pang, Peter Hu, Danping Peng, Dongxue Chen, Tom Cecil, Lin He, Guangming

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

ZEISS Smartproof 5 Your Integrated Widefield Confocal Microscope for Surface Analysis in Quality Assurance and Quality Control

ZEISS Smartproof 5 Your Integrated Widefield Confocal Microscope for Surface Analysis in Quality Assurance and Quality Control Product Information Version 1.0 ZEISS Smartproof 5 Your Integrated Widefield Confocal Microscope for Surface Analysis in Quality Assurance and Quality Control Dedicated Design. Guided Workflow. Trusted

More information

Advances in Disk Metrology

Advances in Disk Metrology Advances in Disk Metrology Robert Kertayasa Zeta Instruments March 2011 www.zeta-inst.com 1909 Concourse Drive San Jose CA 95131 PHONE (408) 577-1888 FAX (408) 577-0588 Agenda Introduction Technology Sample

More information

Redefining Critical in Critical Dimension Metrology

Redefining Critical in Critical Dimension Metrology Redefining Critical in Critical Dimension Metrology Farid Askary a and Neal T. Sullivan b a MetroBoost, 1750 Halford Avenue, Suite 218, Santa Clara, CA 95051 b Schlumberger Semiconductor Solutions, 45

More information

A New Fast Resist Model: the Gaussian LPM

A New Fast Resist Model: the Gaussian LPM A New Fast Resist Model: the Gaussian LPM Chris A. Mack Lithoguru.com, 65 Watchhill Rd, Austin, TX 7873 Abstract BACKGROUN: Resist models for full-chip lithography simulation demand a difficult compromise

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Bringing Patterned Media to Production with Value Added Metrology

Bringing Patterned Media to Production with Value Added Metrology Bringing Patterned Media to Production with Value Added Dean Dawson, Andrew S. Lopez Diskcon /IDEMA Conference, Session 6 September 24th, 2009 Overview Introduction AFM Scan Modes New Nanotrench Pattern

More information

On Comparing Conventional and Electrically Driven OPC Techniques

On Comparing Conventional and Electrically Driven OPC Techniques On Comparing Conventional and Electrically Driven OPC Techniques Dominic Reinhard and Puneet Gupta EE Dept., University of California, Los Angeles {dominicr,puneet}@ee.ucla.edu ABSTRACT This paper compares

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

Lithography Simulation-Based Full-Chip Design Analyses

Lithography Simulation-Based Full-Chip Design Analyses Lithography Simulation-Based Full-Chip Design Analyses Puneet Gupta a, Andrew B. Kahng a, Sam Nakagawa a,saumilshah b and Puneet Sharma c a Blaze DFM, Inc., Sunnyvale, CA; b University of Michigan, Ann

More information

Enabling DFM Flow Peter Rabkin Xilinx, Inc.

Enabling DFM Flow Peter Rabkin Xilinx, Inc. Enabling DFM Flow Peter Rabkin Xilinx, Inc. Open DFM Workshop San Jose CA v 9, 2006 2006 All Rights Reserved Fabless Litho-DFM Requirements Design Tolerance Req s Systematic & automated litho compliance

More information

Rule-based inspection of Wafer surface

Rule-based inspection of Wafer surface Rule-based inspection of Wafer surface N.G. Shankar Z.W. Zhong Euro Technology Pte Ltd School of Mechanical & Production Engineering Tech Place 1 Nanyang Technological University Singapore 569628 Nanyang

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

ZEISS ForTune EUV Next Generation Wafer and Mask Tuning System

ZEISS ForTune EUV Next Generation Wafer and Mask Tuning System Product Information Interactive PDF internet-link video/animation Version 1.0 High performance Wafer and Mask Tuning system for EUV technology Applications & has been designed and built to support the

More information

Product information. Hi-Tech Electronics Pte Ltd

Product information. Hi-Tech Electronics Pte Ltd Product information Introduction TEMA Motion is the world leading software for advanced motion analysis. Starting with digital image sequences the operator uses TEMA Motion to track objects in images,

More information

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Multi-Level Overlay Techniques for Improving DPL Overlay Control Multi-Level Overlay Techniques for Improving DPL Overlay Control Charlie Chen 1, C Pai, Dennis u 1, Peter Pang 1, Chun Chi u 1, Robert (Hsing-Chien) Wu, Eros (Chien Jen) Huang, Marson (Chiun-Chieh) Chen,

More information

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency 9-Oct-7 4th nternational Symposium on mmersion Lithography * The title has been modified [ 865 ; P-HM-5/5 ] New methodology to characterize printing performance of mask materials by analyzing diffraction

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

Automated SEM Offset Using Programmed Defects

Automated SEM Offset Using Programmed Defects Automated SEM Offset Using Programmed Defects Oliver D. Patterson, Andrew Stamper IBM Semiconductor Research and Development Center 2070 Route 52, Mail Stop: 46H Hopewell Junction, NY 12533 USA Roland

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

HEIDELBERG MLA150 MASKLESS ALLIGNER

HEIDELBERG MLA150 MASKLESS ALLIGNER HEIDELBERG MLA150 MASKLESS ALLIGNER MLA150 Maskless Aligner 1. Introduction Heidelberg MLA150 Maskless Aligner is a full-scale production level laser writer which can be used to perform lithography directly

More information

3D Surface Metrology on PV Solar Wafers

3D Surface Metrology on PV Solar Wafers 3D Surface Metrology on PV Solar Wafers Karl- Heinz Strass cybertechnologies USA 962 Terra Bella Ave San Jose CA 95125 P: 408-689-8144 www.cybertechnologies.com Introduction Solar photovoltaics is the

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Leo (Linyong) Pang, Yutesu Takatsukasa, Daisuke Hara, Michael Pomerantsev, Bo Su, Aki Fujimura D2S Patented Technology

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia)

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia) Sub-wavelength Holographic Lithography: The Possibilities And Advantages Mikhail V. Borisov, Dmitriy A. Chelyubeev, Vitalij V. Chernik, Alexander A. Gavrikov, Dmitriy Yu. Knyazkov, Petr A. Mikheev, Vadim

More information

ASIC design flow considering lithography-induced effects

ASIC design flow considering lithography-induced effects DESIGN FOR MANUFACTURABILITY ASIC design flow considering lithography-induced effects K. Cao and J. Hu Abstract: As VLSI technology scales towards 65 nm and beyond, both timing and power performance of

More information

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27 Mask patterning challenges for EUV N7 and beyond Date: 2018/02/27 Outline EUV tech drivers and mask roadmap N7 mask process status Mask and mask process characterization Process improvements toward N5

More information

Weighted least squares regression for advanced overlay control

Weighted least squares regression for advanced overlay control Weighted least squares regression for advanced overlay control Dana Klein a, John C. Robinson* b, Guy Cohen a, Chin-Chou Kevin Huang b, Bill Pierson b a KLA-Tencor Corporation Israel, Haticshoret St.,

More information

Performance-Driven OPC for Mask Cost Reduction

Performance-Driven OPC for Mask Cost Reduction Performance-Driven OPC for Mask Cost Reduction Puneet Gupta, Andrew B. Kahng, Dennis Sylvester and Jie Yang EECS Department, University of Michigan at Ann Arbor ECE Department, University of California

More information

Quick Start Guide ZEN 2 core. Imaging Software

Quick Start Guide ZEN 2 core. Imaging Software Quick Start Guide ZEN 2 core Imaging Software Table of Contents 1 Welcome 03 1.1 Introduction 04 1.2 Workflows 05 1.3 User Roles 06 1.4 Starting the Software and Logging In 07 2 Creating a Job Template

More information

Transistor Flaring in Deep Submicron Design Considerations

Transistor Flaring in Deep Submicron Design Considerations Transistor Flaring in Deep Submicron Design Considerations Vipul Singhal, Keshav C.B., Sumanth K.G., P.R. Suresh Abstract - The deep sub-micron regime has broughtup several manufacturing issues which impact

More information

12X Zoom. Incredible 12X (0.58-7X) magnification for inspection of a wider range of parts.

12X Zoom. Incredible 12X (0.58-7X) magnification for inspection of a wider range of parts. Incredible 12X (0.58-7X) magnification for inspection of a wider range of parts. Telecentric attachment gives you the world s first parfocal telecentric zoom lens with field coverage up to 50 mm. Increased

More information

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009 SUSS MJB4 Manual Aligner For Research, Development and Operator Assisted Production October, 2009 Overview Product Portfolio Aligner MA/BA 8 MA200Compact LithoFab200 MJB4 MA300Plus MA/BA 6 MA150e LithoPack300

More information

Reducing shot count through Optimization based fracture

Reducing shot count through Optimization based fracture Reducing shot count through Optimization based fracture Timothy Lin a, Emile Sahouria a, Nataraj Akkiraju a, Steffen Schulze b a Mentor Graphics Corporation, 46871 Bayside Parkway, Fremont, CA 94538 b

More information

Pattern- Match NDE SDK (API) NDE Core DP Environment DB DB. NDE Virtual DB File System. Fracture MRC. Mask rule check. Select. Pattern-Match SCRD PEC

Pattern- Match NDE SDK (API) NDE Core DP Environment DB DB. NDE Virtual DB File System. Fracture MRC. Mask rule check. Select. Pattern-Match SCRD PEC NDE-MDP Product number: w1810 Nippon Control System Total solution for mask data preparation (MDP) NDE (NCS Distributed Environment) is a distributed processing software package running on a conventional

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions

Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions Jie Yang, Luigi Capodieci and Dennis Sylvester Advanced Micro Devices, 1 AMD Pl., Sunnyvale, CA 9486 EECS Dept., University

More information

Case Study: The Impact of VSB Fracturing

Case Study: The Impact of VSB Fracturing Case Study: The Impact of VSB Fracturing Brian Dillon a and Tim Norris b a Photronics Inc. 61 Millennium Dr. Allen, TX 7513 b MP Mask Technology Center, LLC 8 S. Federal Way Mail Stop 1 Boise, ID 83716

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS Warren W. Flack, Manish Ranjan, Gareth Kenyon, Robert Hsieh Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA mranjan@ultratech.com

More information

Optical microscope angular illumination analysis

Optical microscope angular illumination analysis Optical microscope angular illumination analysis Ravikiran Attota * and Richard Silver Semiconductor and Dimensional Metrology Division, National Institute of Standards and Technology, Gaithersburg, MD

More information

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling Kevin Lensing* a, Jason Cain a, Amogh Prabhu a, Alok Vaid a, Robert Chong a, Richard Good a, Bruno LaFontaine b, and

More information

Heidelberg MLA-150 Standard Operating Procedure

Heidelberg MLA-150 Standard Operating Procedure Heidelberg MLA-150 Standard Operating Procedure CORAL Name: Model: Location: Purpose: Author: MLA-150 Heidelberg MLA150 Maskless Aligner TRL Photo-Au Room Direct-Write Lithography Heidelberg Instruments

More information

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Title:A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Authors:Isao Yonekura a, Hidemitsu Hakii a, Shinya Morisaki a Tsutomu Murakawa b, Soichi Shida b,masayuki Kuribara b,toshimichi

More information

QUARTZ PCI. SLOW-SCAN for ANALOG SEMs THE MEASURING, ANNOTATING, PROCESSING, REPORTING, ARCHIVING, DO EVERYTHING SOLUTION FOR MICROSCOPY

QUARTZ PCI. SLOW-SCAN for ANALOG SEMs THE MEASURING, ANNOTATING, PROCESSING, REPORTING, ARCHIVING, DO EVERYTHING SOLUTION FOR MICROSCOPY QUARTZ PCI SLOW-SCAN for ANALOG SEMs THE MEASURING, ANNOTATING, PROCESSING, REPORTING, ARCHIVING, DO EVERYTHING SOLUTION FOR MICROSCOPY ADDING THE LATEST DIGITAL IMAGING CAPABILITIES TO YOUR SEM IMPROVES

More information

Materials for and performance of multilayer lithography schemes

Materials for and performance of multilayer lithography schemes Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA

More information

32nm and below Logic Patterning using Optimized Illumination and Double Patterning

32nm and below Logic Patterning using Optimized Illumination and Double Patterning 32nm and below Logic Patterning using Optimized Illumination and Double Patterning Michael C. Smayling* a, Valery Axelrad b a Tela Innovations, Inc., 655 Technology Pkwy, Suite 150, Campbell, CA, USA 95008

More information

F-Theta at Jenoptik a holistic approach

F-Theta at Jenoptik a holistic approach Lasers in Manufacturing Conference 2015 F-Theta at Jenoptik a holistic approach Dr. Tim Baldsiefen a, *, Dr. Jan Werschnik a, Lutz Reichmann a a Jenoptik Optical Systems GmbH, Göschwitzer Straße 25, 07745

More information

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 ABSTRACT: In this paper, Frontier Semiconductor will introduce a new technology

More information

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC.

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. OUTLINE Patterning Challenges Target Requirements Mask Manufacturing Modules Resist Process Selection

More information

Electrical linewidth metrology for systematic CD variation characterization and causal analysis

Electrical linewidth metrology for systematic CD variation characterization and causal analysis Electrical lineidth metrology for systematic CD variation characterization and causal analysis Jason P. Cain and Costas J. Spanos Department of Electrical Engineering and Computer Sciences University of

More information

Approaches to the Metrology Challenges for DSA Hole

Approaches to the Metrology Challenges for DSA Hole Approaches to the Metrology Challenges for DSA Hole Masafumi Asano, Kazuto Matsuki, Tomoko Ojima, Hiroki Yonemitsu, Ayako Kawanishi, Miwa Sato 2015 Toshiba Corporation Introduction Outline Metrology for

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity W. CLARK COVENTOR, Villebon sur Yvette, France Variability Concerns Variability is a major concern of any semiconductor process

More information

Investigation of interactions between metrology and lithography with a CD SEM simulator

Investigation of interactions between metrology and lithography with a CD SEM simulator Investigation of interactions between metrology and lithography with a CD SEM simulator Mark D. Smith, Chao Fang, John J, Biafore, Alessandro Vaglio Pret, Stewart A. Robertson KLA-Tencor Corp. ABSTRACT

More information

Lightsheet Z.1. Light Sheet Fluorescence Microscopy by Carl Zeiss. Fabrice Schmitt, Sales Manager Carl ZEISS France

Lightsheet Z.1. Light Sheet Fluorescence Microscopy by Carl Zeiss. Fabrice Schmitt, Sales Manager Carl ZEISS France Lightsheet Z.1 Light Sheet Fluorescence Microscopy by Carl Zeiss Fabrice Schmitt, Sales Manager Carl ZEISS France 12.12.2012 Light Sheet Fluorescence Microscopy (LSFM) Principle The Principle of Light

More information

Phenom TM Pro Suite. The ultimate application software solution. Specification Sheet

Phenom TM Pro Suite. The ultimate application software solution. Specification Sheet Phenom TM Pro Suite The ultimate application software solution The Phenom TM Pro Suite is developed to enable Phenom users to extract maximum information from images made with the Phenom G2 pro desktop

More information

From Eye to Insight FAST DETECTION FAST ACTION. DM3 XL Inspection System. Microelectronics and Semiconductor Industry

From Eye to Insight FAST DETECTION FAST ACTION. DM3 XL Inspection System. Microelectronics and Semiconductor Industry From Eye to Insight Microelectronics and Semiconductor Industry FAST DETECTION FAST ACTION DM3 XL Inspection System The DM3 XL High efficiency for inspection of 6 samples Inspection, process control, or

More information

Patterned Wafer Geometry Grouping for Improved Overlay Control

Patterned Wafer Geometry Grouping for Improved Overlay Control Patterned Wafer Geometry Grouping for Improved Overlay Control Honggoo Lee a, Sangjun Han a, Jaeson Woo a, Junbeom Park a, Changrock Song a, Fatima Anis b, Pradeep Vukkadala b, Sanghuck Jeon C, DongSub

More information

ZEISS O-SELECT Digital Measuring Projector

ZEISS O-SELECT Digital Measuring Projector ZEISS O-SELECT Digital Measuring Projector 2 Certainty at the push of a button. ZEISS O-SELECT // PRECISION MADE BY ZEISS 3 4 Measure reliably at the push of a button ZEISS O-SELECT makes the optical measurement

More information

Overlay accuracy fundamentals

Overlay accuracy fundamentals accuracy fundamentals Daniel Kandel Vladimir Levinski Noam Sapiens Guy Cohen Eran Amit Dana Klein Irina Vakshtein KLA-Tencor Corporation 1 Halavyan Street Migdal Haemek 31 Israel ABSTRACT Currently the

More information

P recise Eye. High resolution, diffraction-limited f/4.5 optical quality for high precision measurement and inspection.

P recise Eye. High resolution, diffraction-limited f/4.5 optical quality for high precision measurement and inspection. High resolution, diffraction-limited f/4.5 optical quality for high precision measurement and inspection. Long working distance makes lighting and handling easier. Compact size. Coaxial lighting available

More information

Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis

Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis More Info at Open Access Database www.ndt.net/?id=15137 Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis Jean-Simon Fraser, Pierre-Hugues Allard Creaform, 5825 rue St-Georges,

More information

Benefiting from Polarization: Effects at High-NA Imaging

Benefiting from Polarization: Effects at High-NA Imaging Benefiting from Polarization: Effects at High-NA Imaging Bruce W. Smith L. Zavyalova, A. Estroff, Y. Fan, A. Bourov Rochester Institute of Technology P. Zimmerman International SEMACH and Intel J. Cashmore

More information

Ch 22 Inspection Technologies

Ch 22 Inspection Technologies Ch 22 Inspection Technologies Sections: 1. Inspection Metrology 2. Contact vs. Noncontact Inspection Techniques 3. Conventional Measuring and Gaging Techniques 4. Coordinate Measuring Machines 5. Surface

More information

Process Variation Aware OPC with Variational Lithography Modeling

Process Variation Aware OPC with Variational Lithography Modeling Process Variation Aware OPC with Variational Lithography Modeling Peng Yu ECE Department University of Texas at Austin Austin, TX 78712 yupeng@cerc.utexas.edu Sean X. Shi ECE Department University of Texas

More information

Amherst. University of Massachusetts Amherst. Aswin Sreedhar University of Massachusetts Amherst

Amherst. University of Massachusetts Amherst. Aswin Sreedhar University of Massachusetts Amherst University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 1911 - February 2014 2008 Automatic Techniques for Modeling Impact of Sub-wavelength Lithography on Transistors and Interconnects

More information