CHAPTER 5 Basic Organization and Design Outline Instruction Codes Computer Registers Computer Instructions Timing and Control Instruction Cycle

Similar documents
Basic Computer Organization - Designing your first computer. Acknowledgment: Most of the slides are adapted from Prof. Hyunsoo Yoon s slides.

BASIC COMPUTER ORGANIZATION AND DESIGN

BASIC COMPUTER ORGANIZATION AND DESIGN

BASIC COMPUTER ORGANIZATION AND DESIGN

UNIT:2 BASIC COMPUTER ORGANIZATION AND DESIGN

Computer Organization (Autonomous)

5-1 Instruction Codes

Basic Computer Organization and Design Part 2/3

COMPUTER ORGANIZATION

csitnepal Unit 3 Basic Computer Organization and Design

Computer Organization and Design

Chapter 5. Computer Architecture Organization and Design. Computer System Architecture Database Lab, SANGJI University

Computer Architecture and Organization: L06: Instruction Cycle

CHAPTER SIX BASIC COMPUTER ORGANIZATION AND DESIGN

Unit II Basic Computer Organization

Blog -

Computer Architecture

Midterm Examination # 2 Wednesday, March 18, Duration of examination: 75 minutes

Programming Level A.R. Hurson Department of Computer Science Missouri University of Science & Technology Rolla, Missouri

Computer Organization and Architecture

Computer Architecture

Darshan Institute of Engineering & Technology for Diploma Studies Unit - 1

Computer architecture Assignment 3

C.P.U Organization. Memory Unit. Central Processing Unit (C.P.U) Input-Output Processor (IOP) Figure (1) Digital Computer Block Diagram

COMPUTER ARCHITECTURE AND ORGANIZATION Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital

COMPUTER ARCHITECTURE AND DIGITAL DESIGN

Faculty of Engineering Systems & Biomedical Dept. First Year Cairo University Sheet 6 Computer I

Introduction. Machine Language. Assembly Language. Assembler. Program Loops. Programming Arithmetic and Logic Operations.

CHAPTER SEVEN PROGRAMMING THE BASIC COMPUTER

M. Sc (CS) (II Semester) Examination, Subject: Computer System Architecture Paper Code: M.Sc-CS-203. Time: Three Hours] [Maximum Marks: 60

Effective Approach for Teaching Computer System Architecture

REGISTER TRANSFER LANGUAGE

Chapter 16. Control Unit Operation. Yonsei University

Micro-Operations. execution of a sequence of steps, i.e., cycles

Class Notes. Dr.C.N.Zhang. Department of Computer Science. University of Regina. Regina, SK, Canada, S4S 0A2

جامعة بنها - كمية العموم قسم الرياضيات المستوي الرابع )علوم حاسب( يوم االمتحان: االحد تاريخ االمتحان: 1024 / 21 / 12 المادة :

William Stallings Computer Organization and Architecture

Register Transfer and Micro-operations

Computer Architecture Programming the Basic Computer

COMPUTER ORGANIZATION AND ARCHITECTURE

MICROPROGRAMMED CONTROL

SCRAM Introduction. Philipp Koehn. 19 February 2018

For Example: P: LOAD 5 R0. The command given here is used to load a data 5 to the register R0.

Chapter 3 : Control Unit

There are four registers involved in the fetch cycle: MAR, MBR, PC, and IR.

THE MICROPROCESSOR Von Neumann s Architecture Model

Control Unit Implementation Hardwired Memory

PSIM: Processor SIMulator (version 4.2)

CPU Design John D. Carpinelli, All Rights Reserved 1

DC57 COMPUTER ORGANIZATION JUNE 2013

CHAPTER 4: Register Transfer Language and Microoperations

CHAPTER 8: Central Processing Unit (CPU)

Blog -

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Advanced Parallel Architecture Lesson 3. Annalisa Massini /2015

UNIT-II. Part-2: CENTRAL PROCESSING UNIT

STRUCTURE OF DESKTOP COMPUTERS

Digital System Design Using Verilog. - Processing Unit Design


Module 5 - CPU Design

Lecture1: introduction. Outline: History overview Central processing unite Register set Special purpose address registers Datapath Control unit

Basic Processing Unit: Some Fundamental Concepts, Execution of a. Complete Instruction, Multiple Bus Organization, Hard-wired Control,

REGISTER TRANSFER AND MICROOPERATIONS

Computer Organization II CMSC 3833 Lecture 33

Fig: Computer memory with Program, data, and Stack. Blog - NEC (Autonomous) 1

REGISTER TRANSFER AND MICROOPERATIONS

PROBLEMS. 7.1 Why is the Wait-for-Memory-Function-Completed step needed when reading from or writing to the main memory?

2 MARKS Q&A 1 KNREDDY UNIT-I

Processing Unit CS206T

TYPICAL QUESTIONS & ANSWERS

Register Transfer Language and Microoperations (Part 2)

MICROPROGRAMMED CONTROL

Assembly Language Programming of 8085

Microcomputer Architecture and Programming

Combinational and sequential circuits (learned in Chapters 1 and 2) can be used to create simple digital systems.

Computer Architecture and Organization: L04: Micro-operations

MARIE: An Introduction to a Simple Computer

Computer Organisation CS303

Chapter 4. MARIE: An Introduction to a Simple Computer. Chapter 4 Objectives. 4.1 Introduction. 4.2 CPU Basics

IAS Computer. Instructions

Computer Organization (Autonomous)

Roll No TCS 402/TIT 402

EE 3170 Microcontroller Applications

Computer Logic II CCE 2010

Introduction to Computers - Chapter 4

Credit Based Choice Based Curriculum for. Bachelor of Computer Applications (BCA) Programme 2016 Onwards

MICROPROGRAMMED CONTROL

INSTRUCTION SET OF 8085

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI UNIT I THE 8085 & 8086 MICROPROCESSORS. PART A (2 Marks)

INTELLIGENCE PLUS CHARACTER - THAT IS THE GOAL OF TRUE EDUCATION UNIT-I

MARIE: An Introduction to a Simple Computer

COA. Prepared By: Dhaval R. Patel Page 1. Q.1 Define MBR.

Problem Set 1 Solutions

Chapter 4. Chapter 4 Objectives. MARIE: An Introduction to a Simple Computer

Chapter 4. MARIE: An Introduction to a Simple Computer

Magdy Saeb, Samy Salamah Arab Academy for Science, Technology & Maritime Transport School of Engineering, Computer Department Alexandria, Egypt

CS 31: Intro to Systems Digital Logic. Kevin Webb Swarthmore College February 3, 2015

CS 31: Intro to Systems Digital Logic. Kevin Webb Swarthmore College February 2, 2016

CC312: Computer Organization

Transcription:

CS 224: Computer Organization S.KHABET CHAPTER 5 Basic Organization and Design Outline Instruction Codes Computer Registers Computer Instructions Timing and Control Instruction Cycle Memory Reference Instructions Input-Output and Interrupt Complete Computer Description Design of Basic Computer Design of Accumulator Logic 1

Introduction Every different processor type has its own design (different registers, buses, micro-operations, machine instructions, etc) Modern processor is a very complex device. It contains Many registers Multiple arithmetic units, for both integer and floating point calculations The ability to pipeline several consecutive instructions to speed execution Etc. However, to understand how processors work, we will start with a simplified processor model This is similar to what real processors were like ~25 years ago M. Morris Mano introduces a simple processor model he calls the Basic Computer We will use this to introduce processor organization and the relationship of the RTL model to the higher level computer processor 2

The Basic Computer The Basic Computer has two components, a processor and a memory The memory has 4096 words in it. 4096 = 2 12, so it takes 12 bits to select a word in memory Each word is 16 bits long CPU 15 RAM 0 0 4095 3

Instruction Codes Program : A sequence of (machine) instructions (Machine) Instruction : A group of bits that tell the computer to perform a specific operation (a sequence of micro-operations) The instructions of a program, along with any needed data are stored in memory The CPU reads the next instruction from memory and placed it in an Instruction Register (IR) Control circuitry in control unit then translates the instruction into the sequence of micro-operations necessary to implement it. There are many variations for arranging binary code of instructions. Each computer has its own particular instruction code format. 4

Instruction Format A computer instruction is often divided into two parts (fields) An opcode (Operation Code) that specifies the operation for that instruction An address that specifies the registers and/or locations in memory to use for that operation In the Basic Computer, since the memory contains 4096 (2 12 ) words we needs 12 bits to specify which memory address this instruction will use In the Basic Computer, bit 15 of the instruction specifies the addressing mode (0: direct addressing, 1: indirect addressing) Since the memory words, and hence the instructions, are 16 bits long, that leaves 3 bits for the instruction s opcode Instruction Format 15 14 12 I Opcode 11 Address 0 Addressing mode 5

Instruction Code An instruction code is divided into two parts: 1. Operation code: An operation is a part of an instruction. A group of bits that define the operation. Example: addition, subtraction, shift The number of bits required for the operation code depend on the total number of operations. The operation code consists of n bits if there is 2 n possible operations (or less). When the operation decoded by the control unit a sequence of control signal are issued to perform the right microoperations necessary for the operation. 6

Instruction Codes 2. Operand The operation is performed on data stored in memory or register. The operand part of an instruction specifies the location of this data. It must also specify the location where the result must be stored. Memory words can be specified in instruction codes by their address Processor registers can be specified by assigning a number to each register. 7

Instruction Codes Stored Program Organization: Instructions are stored in one section of memory and data in the other. 15 12 11 0 Opcode Address Instructions (program) 15 Binary Operand 0 Operands (data) Accumulator AC (Processor register) 8

Addressing Modes(1) The address field of an instruction can represent either Direct address: The address in memory of the data to use (the address of the operand), or Indirect address: The address in memory of the address in memory of the data to use Effective Address (EA) Address where an operand is physically located 9

Addressing Modes(2) Direct addressing Indirect addressing 22 0 ADD 457 35 1 ADD 300 300 135 0 457 Operand 1350 Operand + + AC AC 10

Processor Registers (1) A processor has many registers to hold instructions, addresses, data, etc The processor has a register, the Program Counter (PC) that holds the memory address of the next instruction to get Since the memory in the Basic Computer only has 4096 locations, the PC only needs 12 bits In a direct or indirect addressing, the processor needs to keep track of what locations in memory it is addressing. The Address Register (AR) is used for this The AR is a 12 bit register in the Basic Computer When an operand is found, using either direct or indirect addressing, it is placed in the Data Register (DR). The processor then uses this value as data for its operation The Basic Computer has a single general purpose register the Accumulator (AC) 11

Processor Registers (2) The significance of a general purpose register is that it can be referred to in instructions e.g. load AC with the contents of a specific memory location; store the contents of AC into a specified memory location Often a processor will need a scratch register to store intermediate results or other temporary data; in the Basic Computer this is the Temporary Register (TR) The Basic Computer uses a very simple model of input/output (I/O) operations The Input Register (INPR) holds an 8 bit character gotten from an input device The Output Register (OUTR) holds an 8 bit character to be send to an output device 12

Basic Computer Registers (1) Registers in the Basic Computer 11 0 PC 11 0 AR 15 0 IR 15 0 TR 7 0 7 0 OUTR INPR Memory 4096 x 16 15 0 DR 15 0 AC CPU 13

Basic Computer Registers(2) List of Basic Computer Registers DR (Data Register) 16 Holds memory operand AR (Address Register) 12 Holds address for memory AC (Accumulator) 16 Processor register IR (Instruction Register) 16 Holds instruction code PC (Program Counter) 12 Holds address of instruction TR (Temporary Register) 16 Holds temporary data INPR (Input Register) 8 Holds input character OUTR (Output Register) 8 Holds output character 14

Common Bus System(1) The basic computer has eight registers, a memory unit, and a control unit. Paths must be provided to transfer information from one register to another and between memory and registers A more efficient scheme for transferring information in a system with many registers is to use a common bus. 15

Common Bus System(2) WRITE Memory Unit 4096x16 AR LD INR CLR LD INR CLR DR PC LD INR CLR READ Address s 0 s 1 s 2 Bus 7 1 2 3 ALU E AC LD INR CLR 4 INPR IR 5 LD LD LD INR OUTR TR CLR Clock 6 16-bit common bus 16

Common Bus System(3) L : Load I : Increment C : Clear Memory Read Write INPR 4096x16 Address E ALU AC L I C L I C L L I C DR IR L I C PC TR AR OUTR L L I C 7 1 2 3 4 5 6 16-bit Common Bus S S 1 S 2 0 17

Common Bus System (4) Three control lines, S2, S1, and S0 control which register the bus selects as its input S 2 S 1 S 0 Register 0 0 0 x 0 0 1 AR 0 1 0 PC 0 1 1 DR 1 0 0 AC 1 0 1 IR 1 1 0 TR 1 1 1 Memory Either one of the registers will have its load signal activated, or the memory will have its write signal activated Will determine where the data from the bus gets loaded 18

Common Bus System (5) The 12-bit registers, AR and PC, have 0 s loaded onto the bus in the high order 4 bit positions 12 least significant bits 12 bits The 8-bit registers OUTR and INPR communicate with a low order 8 bits on the bus 19

Basic Computer Instructions (1) Three Instruction Code Formats Memory-Reference Instructions (OP-Code = 000 ~ 110) 15 12 11 0 14I Op-Code Address Register-Reference Instructions (OP-Code = 111, I = 0) 15 12 0 1 1 111 Register operation 0 Input-Output Instructions (OP-Code =111, I = 1) 15 12 11 0 I/O operation 1 1 1 1 20

Basic Computer Instructions (2) Memory-Reference Instructions (OP-Code = 000 ~ 110) I=0 : 0xxx ~ 6xxx, I=1: 8xxx ~Exxx Register-Reference Instructions (OP-Code = 111, I = 0) 7xxx (7800 ~ 7001) Input-Output Instructions (OP-Code =111, I = 1) Fxxx(F800 ~ F040) Hex Code Symbol I = 0 I = 1 Description AND 0xxx 8xxx And memory word to AC ADD 1xxx 9xxx Add memory word to AC LDA 2xxx Axxx Load memory word to AC STA 3xxx Bxxx Store content of AC in memory BUN 4xxx Cxxx Branch unconditionally BSA 5xxx Dxxx Branch and Save return address ISZ 6xxx Exxx Increment and skip if zero CLA 7800 Clear AC CLE 7400 Clear E CMA 7200 Complement AC CME 7100 Complement E CIR 7080 Circulate right AC and E CIL 7040 Circulate left AC and E INC 7020 Increment AC SPA 7010 Skip next instruction if AC positive SNA 7008 Skip next instruction if AC negative SZA 7004 Skip next instruction if AC zero SZE 7002 Skip next instruction if E is 0 HLT 7001 Halt computer INP F800 Input character to AC OUT F400 Output character from AC SKI F200 Skip on input flag SKO F100 Skip on output flag ION F080 Interrupt On IOF F040 Interrupt Off 21

Instruction Set Completeness A computer should have a set of instructions so that the user can construct machine language programs to evaluate any function that is known to be computable. Instruction Types Functional Instructions: Arithmetic, logic, and shift instructions ADD, CMA, INC, CIR, CIL, AND, CLA Transfer Instructions: Data transfers between the main memory and the processor registers LDA, STA Control Instructions: Program sequencing and control BUN, BSA, ISZ Input/Output Instructions: Input and output INP, OUT 22

Control Unit Control unit (CU) of a processor translates from machine instructions to the control signals for the microoperations that implement them Control units are implemented in one of two ways Hardwired Control CU is made up of sequential and combinational circuits to generate the control signals Microprogrammed Control A control memory on the processor contains microprograms that activate the necessary control signals We will consider a hardwired implementation of the control unit for the Basic Computer 23

Timing and Control Control unit of Basic Computer Instruction register (IR) 15 14 13 12 11-0 Other inputs 3 x 8 decoder 7 6 5 4 3 2 1 0 I D 0 D 7 Combinational Control logic Control signals T15 T0 15 14.... 2 1 0 4 x 16 decoder 4-bit sequence counter (SC) Increment (INR) Clear (CLR) Clock 24

Timing Signals Generated by 4-bit sequence counter and 416 decoder Clock T0 T1 T2 T3 T4 T0 T0 The SC can be incremented or cleared. T1 T2 Example: T0, T1, T2, T3, T4, T0, T1,... Assume: At time T4, SC is cleared to 0 if decoder output D3 is active. D3T4: SC 0 T3 T4 D3 CLR SC 25

Instruction Cycle In Basic Computer, a machine instruction is executed in the following cycle: 1. Fetch an instruction from memory 2. Decode the instruction 3. Read the effective address from memory if the instruction has an indirect address 4. Execute the instruction After an instruction is executed, the cycle starts again at step 1, for the next instruction Note : Every different processor has its own (different) instruction cycle 26

T0: Address transfer between PC and AR T0: Since only AR is connected to the address inputs of memory, the address of instruction is transferred from PC to AR. 1. Place the content of PC onto the bus by making the bus selection inputs S2S1S0 = 010. 2. Transfer the content of the bus to AR by enabling the LD input of AR ( AR PC). 27

T1: Data transfer between Memory and IR T1: The instruction read from memory is placed in IR. At the same time, PC is incremented to the address of the next instruction. 1. Enable read input of the memory. 2. Place the content of memory onto the bus using the bus selection inputs S2S1S0 = 111. (Note that the address lines are always connected to AR, and the next instruction address has been already placed in AR.) 3. Transfer the content of the bus to IR by enabling LD input to IR (IR M[AR]). 4. Increment PC by enabling the INR input of PC ( PC PC + 1 ). 28

Decoding at T2 T2: The operation code in IR is decoded; the indirect bit is transferred to I; the address part of the instruction is transferred to AR. 29

Fetch and Decode Summary T0: AR PC (S0S1S2 = 010, T0 =1) T1: IR M [AR], PC PC + 1 (S0S1S2 = 111, T1=1) T2: D0,..., D7 Decode IR (12-14), AR IR(0-11), I IR(15) T1 T0 S 2 S 1 Bus Memory unit Read Address S 0 7 AR 1 LD PC 2 INR IR 5 LD Common bus Clock 30

Determine the Type of Instruction At T3, microoperations which take place depend on the type of instruction. The four different paths are symbolized as follows, Control function Microoperation D7`IT3: AR M[AR], indirect memory transfer D7`I`T3: Nothing, direct memory transfer D7I`T3: Execute a register-reference instruction D7IT3: Execute an I/O instruction When D7`T3 = 1 (At T3 & IR(12-14) ¹ 111), the execution of memory-reference instructions takes place with the next timing variable T4. 31

Flowchart for Fetch and Decode phases Start SC 0 AR PC T0 IR M[AR], PC PC + 1 Decode Opcode in IR(12-14), AR IR(0-11), I IR(15) T1 T2 (Register or I/O) = 1 D7 = 0 (Memory-reference) (I/O) = 1 = 0 (register) (indirect) = 1 I I = 0 (direct) Execute input-output instruction SC 0 T3 T3 T3 T3 Execute register-reference instruction SC 0 AR M[AR] Execute memory-reference instruction SC 0 Nothing T4 32

Register Reference Instructions (1) Register Reference Instructions are identified when D7 = 1, I = 0 Register Ref. Instr. is specified in b0 ~ b11 of IR Execution starts with timing signal T3 33

Register Reference Instructions (2) r = D7 IT3 => Register Reference Instruction IR(i) = B i [bit in IR(0-11) that specifies the operation] r: SC 0 CLA rb 11 : AC 0 CLE rb 10 : E 0 CMA rb 9 : AC AC CME rb 8 : E E CIR rb 7 : AC shr AC, AC(15) E, E AC(0) CIL rb 6 : AC shl AC, AC(0) E, E AC(15) INC rb 5 : AC AC + 1 SPA rb 4 : if (AC(15) = 0) then (PC PC+1) SNA rb 3 : if (AC(15) = 1) then (PC PC+1) SZA rb 2 : if (AC = 0) then (PC PC+1) SZE rb 1 : if (E = 0) then (PC PC+1) HLT rb 0 : S 0 (S is a start-stop flip-flop) 34

Register Reference Instructions: Example (1) 7040 (in hexadecimal). In binary : 0111 0000 0100 0000 (CIL) D 7 I T3= r Register Transfer Statement IR AC DR PC AR M[AR] E Initial Values 1200 0001 00C7 035 200 0400 0 R T0: AR PC ---- ---- ---- --- - R T1: IR M[AR], PC PC+1 035 7040 --- 7040 ---- ---- 036 --- ---- --- R T2: AR IR(0-11), I IR(15) rb 6 : AC shl AC, AC(0) E, E AC(15) ---- ---- ---- --- 040 0400 --- ---- 0002 ---- --- --- ---- 0 ---- : means the same with previous value 35

Register Reference Instructions: Example (2) 7100 (in hexadecimal), In binary this is equivalent to: 0111 0001 0000 0000 (CME Complement E) 7010 (Bi=bit in position i =4) in binary 0111 0000 0001 0000 (SPA skip if positive) 36

Memory Reference Instructions (1) Symbol Operation Decoder Symbolic Description AND D 0 AC AC M[AR] ADD D 1 AC AC + M[AR], E C out LDA D 2 AC M[AR] STA D 3 M[AR] AC BUN D 4 PC AR BSA D 5 M[AR] PC, PC AR + 1 ISZ D 6 M[AR] M[AR] + 1, if M[AR] + 1 = 0 then PC PC+1 The effective address of the instruction is in AR and was placed there during timing signal T2 when I = 0, or during timing signal T3 when I = 1 Memory cycle is assumed to be short enough to complete in a CPU cycle The execution of MR instruction starts with T4 37

Memory Reference Instructions (2) AND to AC D0T4: DR M[AR] Read operand D0T5: AC AC DR, SC 0 AND with AC ADD to AC D1T4: DR M[AR] Read operand D1T5: AC AC + DR, E Cout, SC 0 Add to AC and store carry in E LDA: Load to AC D2T4: DR M[AR] D2T5: AC DR, SC 0 STA: Store AC D3T4: M[AR] AC, SC 0 38

Memory Reference Instructions (3) BUN: Branch unconditionally. Transfers the program to the instruction specified by AR. (Note that the branch target must be in AR beforehand.) D4T4: PC AR, SC 0 BSA: Branch and Save Return Address. This instruction is useful for branching to a position of the program called a subprogram BSA: Branch to address AR and save PC address. BSA is used to implement a subroutine call. The indirect BUN instruction at the end of the subroutine performs the subroutine return. D5T4: M[AR] PC, AR AR + 1 D5T5: PC AR, SC 0 39

Memory Reference Instructions (4) Memory, PC, AR at time T4 Memory, PC after execution 20 PC = 21 0 BSA 135 Next instruction 20 21 0 BSA 13 5 Next instruction AR = 135 136 Subroutine 135 PC = 136 21 Subroutine 1 BUN 135 Memory 1 BUN 135 Memory BSA: Branch and Save Return Address M[AR] PC, PC AR + 1 BSA: D5T4: M[AR] PC, AR AR + 1 D5T5: PC AR, SC 0 40

Memory Reference Instructions (5) ISZ: Increment and skip if zero. Programmer usually stores a negative number in the memory word (in two s complement form). As this negative number is repeatedly incremented by one, it eventually reaches zero. At that time PC is incremented by one in order to skip the next instruction. Increment: M[AR] M[AR] + 1, if (M[AR] + 1 = 0) then PC PC + 1 Increment and skip if zero requires 3 cycles. D6T4: DR M[AR] D6T5: DR DR + 1 D6T6: M[AR] DR, if DR=0 then PC PC + 1, SC 0 41

Flowchart for Memory Reference Instructions Memory-reference instruction AND ADD LDA STA D 0 T 4 D 1 T 4 D 2 T 4 D 3 T 4 DR M[AR] DR M[AR] DR M[AR] M[AR] AC SC 0 AC AC DR SC 0 D 0 T 5 D 1 T 5 D 2 T 5 AC AC + DR E Cout SC 0 AC DR SC 0 BUN BSA ISZ D 4 T 4 D 5 T 4 D 6 T 4 PC AR SC 0 M[AR] PC AR AR + 1 DR M[AR] D 5 T 5 D 6 T 5 PC AR SC 0 DR DR + 1 D 6 T 6 M[AR] DR If (DR = 0) then (PC PC + 1) SC 0 42

Memory Reference Instructions: Example 0200 (in hexadecimal). In binary this is equivalent to: 0000 0010 0000 0000 (AND) Register Transfer Statement IR AC DR PC AR M[AR] E Initial Values 1200 8000 00C7 036 080 unknow 1 R T0: AR PC ---- ---- ---- --- 036 0200 --- R T1: IR M[AR], PC PC+1 0200 ---- ---- 037 ---- ---- --- R T2: AR IR(0-11) ---- ---- ---- --- 200 0400 --- T3: nothing ---- ---- ---- --- ---- ---- --- D 0 T4: DR M[AR] ---- ---- 0400 --- ---- ---- --- D 0 T5: AC AC ˆ DR, SC 0 ---- 0000 ---- --- ---- ---- --- ---- : means the same with previous value 43

Input/Output and Interrupt (1) Input-Output Configuration INPR Input register - 8 bits OUTR Output register - 8 bits FGI Input flag - 1 bit FGO Output flag - 1 bit IEN Interrupt enable - 1 bit Input-output terminal Printer Serial communication interface Receiver interface Computer registers and flip-flops OUTR AC FGO Keyboard Transmitter interface INPR FGI Serial Communications Path Parallel Communications Path The terminal sends and receives serial information The serial info. from the keyboard is shifted into INPR The serial info. for the printer is stored in the OUTR INPR and OUTR communicate with the terminal serially and with the AC in parallel. The flags are needed to synchronize the timing difference between I/O device and the computer 44

Input/Output and Interrupt (2) Input Flag (FGI), Output Flag (FGO) FGI : set when INPR has information, clear when INPR is empty FGO : set when operation is completed, clear when output device is active (for example a printer is in the process of printing) 45

Program Controlled Data Transfer (1) FGI=1 new information in the input device FGI=0 computer accepte new information from INPR CPU: /* Input, Initially FGI = 0 */ loop: If FGI = 0 go to loop AC INPR, FGI 0 /* Output, Initially FGO = 1 */ loop: If FGO = 0 go to loop OUTR AC, FGO 0 yes yes FGI=0 Start Input FGI 0 FGI=0 no AC INPR More Character END no 46

Program Controlled Data Transfer (2) FGO =1 output device ready then information transmitted to it. FGO = 0 output device busy I/O Device: /* Input */ loop: If FGI = 1 go to loop INPR new data, FGI 1 /* Output */ loop: If FGO = 1 go to loop consume OUTR, FGO 1 yes yes FGO=1 Start Output AC Data FGO=0 OUTR AC FGO 0 More Character END no no 47

Input/Output Instructions D 7 IT 3 = p (common to all input-output instructions) IR(i) = B i (i = 6, 7, 8, 9, 10, 11) p: SC 0 Clear SC INP pb 11 : AC(0-7) INPR, FGI 0 Input char. to AC OUT pb 10 : OUTR AC(0-7), FGO 0 Output char. from AC SKI pb 9 : if(fgi = 1) then (PC PC + 1) Skip on input flag SKO pb 8 : if(fgo = 1) then (PC PC + 1) Skip on output flag ION pb 7 : IEN 1 Interrupt enable on IOF pb 6 : IEN 0 Interrupt enable off 48

Interrupt Initiated Input/Output Open communication only when some data has to be passed --> interrupt. The I/O interface, instead of the CPU, monitors the I/O device. When the interface founds that the I/O device is ready for data transfer, it generates an interrupt request to the CPU Upon detecting an interrupt, the CPU stops momentarily the task it is doing, branches to the service routine to process the data transfer, and then returns to the task it was performing. IEN (Interrupt-enable flip-flop) can be set and cleared by instructions interrupt enable on (ION ), and IOF when cleared, the computer cannot be interrupted 49

Interrupt Cycle The interrupt cycle is a HW implementation of a branch and save return address operation. At the beginning of the next instruction cycle, the instruction that is read from memory is in address 1. At memory address 1, the programmer must store a branch instruction that sends the control to an interrupt service routine The instruction that returns the control to the original program is "indirect BUN 0" 50

Flowchart for Interrupt Cycle T T T ( IEN )( FGI ' ' 0 1 ' 2 R Interrupt ff FGO) : R 1 Instruction cycle =0 =1 R Interrupt cycle Fetch and decode instruction Store return address in location 0 M[0] PC Execute instruction =1 =0 IEN =1 FGI Branch to location 1 PC 1 =0 =1 FGO IEN 0 R 0 =0 R 1 51

Register Transfer Microoperations in Interrupt Cycle Memory Before interrupt After interrupt cycle 0 1 0 BUN 1120 0 PC = 1 256 0 BUN 1120 255 PC = 256 1120 Main Program I/O Program 1 BUN 0 255 256 1120 Main Program I/O Program 1 BUN 0 Register Transfer Statements for Interrupt Cycle R FF 1 if IEN (FGI + FGO)T0T1T2 T0T1T2 (IEN)(FGI + FGO): R 1 The fetch and decode phases of the instruction cycle must be modified Replace T0, T1, T2 with R'T0, R'T1, R'T2 The interrupt cycle : Save Return Address(PC) at 0 Jump to 1(PC=1) RT RT RT 0 1 2 : AR 0, TR PC : M[ AR] TR, PC 0 : PC PC 1, IEN 0, R 0, SC 0 52

Further Questions on Interrupt How can the CPU recognize the device requesting an interrupt? Since different devices are likely to require different interrupt service routines, how can the CPU obtain the starting address of the appropriate routine in each case? Should any device be allowed to interrupt the CPU while another interrupt is being serviced? How can the situation be handled when two or more interrupt requests occur simultaneously? 53

Complete Computer Description: Flowchart of Operations start SC 0, IEN 0, R 0 (Instruction Cycle) 0 = = 1 (Interrupt Cycle) R R T 0 AR PC R T 1 AR 0, TR PC RT 0 RT 1 IR M[AR], PC PC + 1 AR IR(0~11), I IR(15) D 0...D 7 Decode IR(12 ~ 14) R T 2 M[AR] TR, PC 0 PC PC + 1, IEN 0 R 0, SC 0 RT 2 (Register or I/O) 1 = = 0 (Memory Ref) D 7 (I/O ) 1 = = 0 (Register) (Indir) 1 = = 0 (Dir) I I D 7 IT 3 D 7 I T 3 D 7 IT3 D 7 I T3 Execute I/O Instruction Execute RR Instruction AR <- M[AR] Idle Execute MR Instruction D 7 T4 54

Complete Computer Description Microoperations (1) Fetch Decode Indirect Interrupt Memory-Reference AND ADD LDA STA BUN BSA ISZ RT 0 : RT 1 : RT 2 : D 7 IT 3 : T 0 T 1 T 2 (IEN)(FGI + FGO): RT 0 : RT 1 : RT 2 : D 0 T 4 : D 0 T 5 : D 1 T 4 : D 1 T 5 : D 2 T 4 : D 2 T 5 : D 3 T 4 : D 4 T 4 : D 5 T 4 : D 5 T 5 : D 6 T 4 : D 6 T 5 : D 6 T 6 : AR PC IR M[AR], PC PC + 1 D0,..., D7 Decode IR(12 ~ 14), AR IR(0 ~ 11), I IR(15) AR M[AR] R 1 AR 0, TR PC M[AR] TR, PC 0 PC PC + 1, IEN 0, R 0, SC 0 DR M[AR] AC AC DR, SC 0 DR M[AR] AC AC + DR, E C out, SC 0 DR M[AR] AC DR, SC 0 M[AR] AC, SC 0 PC AR, SC 0 M[AR] PC, AR AR + 1 PC AR, SC 0 DR M[AR] DR DR + 1 M[AR] DR, if(dr=0) then (PC PC + 1), SC 0 55

Complete Computer Description Microoperations(2) Register-Reference CLA CLE CMA CME CIR CIL INC SPA SNA SZA SZE HLT Input-Output INP OUT SKI SKO ION IOF D 7 IT 3 = r IR(i) = B i r: rb 11 : rb 10 : rb 9 : rb 8 : rb 7 : rb 6 : rb 5 : rb 4 : rb 3 : rb 2 : rb 1 : rb 0 : D 7 IT 3 = p IR(i) = B i p: pb 11 : pb 10 : pb 9 : pb 8 : pb 7 : pb 6 : (Common to all register-reference instr) (i = 0,1,2,..., 11) SC 0 AC 0 E 0 AC AC E E AC shr AC, AC(15) E, E AC(0) AC shl AC, AC(0) E, E AC(15) AC AC + 1 If(AC(15) =0) then (PC PC + 1) If(AC(15) =1) then (PC PC + 1) If(AC = 0) then (PC PC + 1) If(E=0) then (PC PC + 1) S 0 (Common to all input-output instructions) (i = 6,7,8,9,10,11) SC 0 AC(0-7) INPR, FGI 0 OUTR AC(0-7), FGO 0 If(FGI=1) then (PC PC + 1) If(FGO=1) then (PC PC + 1) IEN 1 IEN 0 56

Design of Basic Computer Hardware Components of Basic Computer A memory unit : 4096 x 16. Registers: AR, PC, DR, AC, IR, TR, OUTR, INPR, and SC Flip-Flops (Status) : I, S, E, R, IEN, FGI, and FGO Decoders : a 3x8 Opcode decoder a 4x16 timing decoder Common bus : 16 bits Adder and Logic circuit : Connected to AC Control Logic Gates Input Controls of the nine registers Read and Write Controls of memory Set, Clear, or Complement Controls of the flip-flops S2, S1, S0 Controls to select a register for the bus AC, and Adder and Logic circuit 57

Control of Registers and Memory Address Register: AR Scan all of the register transfer statements that change the content of AR: R T 0 : AR PC LD (AR) R T 2 : AR IR(0-11) LD (AR) D 7 IT 3 : AR M[AR] LD (AR) RT 0 : AR 0 CLR (AR) D 5 T 4 : AR AR + 1 INR (AR) LD (AR) = R'T 0 + R'T 2 + D' 7 IT 3 CLR (AR) = RT 0 INR (AR) = D 5 T 4 12 12 From bus AR D' 7 I LD T 3 T 2 INR CLR R T 0 To bus Clock D 5 T 4 58

Control of Flags IEN: Interrupt Enable Flag pb7: IEN 1 (I/O Instruction) pb6: IEN 0 (I/O Instruction) RT2: IEN 0 (Interrupt) p = D7IT3 (Input/Output Instruction) D 7 I T 3 p B 7 J Q IEN B 6 K R T 2 59

Control of Common Bus x1 x2 x3 x4 x5 Encoder S 2 S 1 Multiplexer bus select inputs x6 x7 S 0 x1 x2 x3 x4 x5 x6 x7 S2 S1 S0 selected register 0 0 0 0 0 0 0 0 0 0 none 1 0 0 0 0 0 0 0 0 1 AR 0 1 0 0 0 0 0 0 1 0 PC 0 0 1 0 0 0 0 0 1 1 DR 0 0 0 1 0 0 0 1 0 0 AC 0 0 0 0 1 0 0 1 0 1 IR 0 0 0 0 0 1 0 1 1 0 TR 0 0 0 0 0 0 1 1 1 1 Memory For AR D 4 T 4 : PC AR D 5 T 5 : PC AR x1 = D 4 T 4 + D 5 T 5 60

Design of Accumulator Logic Circuits associated with AC 16 From DR From INPR 16 8 Adder and logic circuit 16 AC 16 To bus LD INR CLR Clock All the statements that change AC Control gates D 0 T 5 : AC AC DR AND with DR D 1 T 5 : AC AC + DR Add with DR D 2 T 5 : AC DR Transfer from DR pb 11 : AC(0-7) INPR Transfer from INPR rb 9 : AC AC Complement rb 7 : AC shr AC, AC(15) E Shift right rb 6 : AC shl AC, AC(0) E Shift left rb 11 : AC 0 Clear rb 5 : AC AC + 1 Increment 61

Control of AC Register Gate structures for controlling the LD, INR, and CLR of AC From Adder and Logic 16 AC 16 To bus D 0 T 5 D 1 AND ADD LD INR CLR Clock D 2 T 5 p B 11 r B 9 B 7 B 6 B 5 B 11 DR INPR COM SHR SHL INC CLR 62

ALU (Adder and Logic Circuit) One stage of Adder and Logic circuit DR(i) AC(i) AND FA C C i i+1 ADD DR I i LD J Q AC(i) From INPR bit(i) INPR COM K SHR AC(i+1) SHL AC(i-1) 63

Announcements Readings Chapter 5 of the textbook: Computer System Architecture, M. Mano 5-3, 5-4, 5-6, 5-9, 5-10, 5-11, 5-12, 5-15, 5-16, 5-17, 5-18 64