Single Vendor Design Flow Solutions for Low Power Electronics

Similar documents
SmartSpice Analog Circuit Simulator Product Update. Yokohama, June 2004 Workshop

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Utmost III. Device Characterization and Modeling

Detailed Presentation

Guidelines for Verilog-A Compact Model Coding

Harmony-AMS Analog/Mixed-Signal Simulator

Trends and Challenges

What s new in IC-CAP 2009 Update 1

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

Hipex Full-Chip Parasitic Extraction

Compact Model Council

Laurent Lemaitre (F)

Silvaco Japan Leading Future EDA in Japan

Tutorial: How to (and How NOT to) Write a Compact Model in Verilog-A

Laker 3 Custom Design Tools

Cadence simulation technology for PCB design

Lecture 9. Introduction to Analog. Jaeha Kim Mixed-Signal IC and System Group (MICS) Seoul National University

A Platform for Compact Model Sharing!

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

TSBCD025 High Voltage 0.25 mm BCDMOS

Expert Layout Editor. Technical Description

Virtuoso Layout Suite XL

Advanced Design System Netlist Exporter

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

Synopsys Design Platform

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture.

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs

BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A

Some of the above changes have been made to accommodate Windows Vista User Access Control which write protects the Program Files tree.

Using Sonnet in a Cadence Virtuoso Design Flow

Parallel Circuit Simulation: How Good Can It Get? Andrei Vladimirescu

UOTFT: Universal Organic TFT Model for Circuit Design

Comprehensive design and verification with the industry s leading simulators

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises

Simulation and Modeling for Signal Integrity and EMC

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

Analog IC Simulation. Mentor Graphics 2006

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Virtuoso - Enabled EPDA framework AIM SUNY Process

PSpice Analog and mixed signal simulation

Guardian NET Layout Netlist Extractor

Laker Custom Layout Automation System

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Baseband IC Design Kits for Rapid System Realization

Verilog-A Standardization for Compact Modeling

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

Virtuoso System Design Platform Unified system-aware platform for IC and package design

AMS Behavioral Modeling

Use of Symbolic Performance Models in Layout-Inclusive Synthesis of RF Low-Noise Amplifiers

RC Extraction. of an Inverter Circuit

Spectre-Compatible Process Design Kits

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

Release Options. Option 1 Verilog-A [MAST,?...) ==> advantages see separates slides/presentations

CADENCE SETUP. ECE4430-Analog IC Design

A Unified Environment for Modeling Very Deep Submicron MOS Transistors inside Agilent s IC-CAP

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DATASHEET VIRTUOSO LAYOUT SUITE GXL

SiMKit Release Notes. for SiMKit version 2.5. First Edition. NXP Semiconductors DMS/Tool and Flow Solutions

QUEST 3D RLCG Extraction Depending on Frequency. RF Structures Parasitic Extractor

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply

ESD Protection Device Simulation and Design

SILVACO Management Console (SMAN)

EDA Cloud ADS CIC EDA Cloud ADS Software User Manual

Integrated Simulation Solution for Advanced Power Devices

Allegro Design Authoring

designs with signals operating in the multi-gigahertz (MGH) frequency range. It

SPICE Models: ROHM Voltage Detector ICs

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

DC Circuit Simulation

Schematic/Design Creation

Concurrent, OA-based Mixed-signal Implementation

Overview of Digital Design with Verilog HDL 1

Parag Choudhary Engineering Architect

Intro to Cadence. Brady Salz. ECE483 Spring 17

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Lab 2: Functional Simulation Using. Affirma Analog Simulator

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

Simulation with Verilog-XL

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

Analog Verification Concepts: Industrial Deployment Case Studies

A New Methodology for AMS SoC Design that Enables AMS Design Reuse and Achieves Full-Custom Performance

SMASH: a Verilog-A simulator for analog designers

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

Advanced Design System Netlist Translator for SPICE and Spectre

THE DESIGNER S GUIDE TO VERILOG-AMS

Technologies and Tools for µe design

Notes for simulating digital circuits with ELDO Input files used by ELDO, Transistor Scaling, Forces, and Plotting rev 2 DA-IC and ELDO Files

Remote Terminal Monitoring Protection Surge Protection for Quasi-Grounded Low Voltage dc Circuits

PCB Design Tools User Guide

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17

Compact Modeling for RF/Microwave Applications (CMRF 2005)

Transcription:

Single Vendor Design Flow Solutions for Low Power Electronics

Pressure Points on EDA Vendors for Continuous Improvements To be the leader in low power electronics circuit design solutions, an EDA vendor must lead in: Accuracy - High Precision Analog Capacity - Large Circuit Simulation Performance - Fast Simulation Speed Advanced Simulation Features Integration - Full Design Flow Solution - 2 -

Single Vendor Design Flow Product Solution The focus point for low power design flow solutions is on simulators. Silvaco offers SmartSpice for analog, SmartSpiceRF for RF and Harmony for mixed signal simulation. - 3 -

Simulation Accuracy Simulation accuracy depends on SPICE models available and solvers used for solving equations. Solvers - Family of solvers is available for solving different circuit problems. Three direct and two iterative solvers, decoupled from the simulators and used as dynamicially linkable during run time. Each solver has its own release history and all versions are web available for download. - 4 -

Model Accuracy: Advanced SPICE Models All commercially available SPICE models suitable for low power electronics are current (modern) and available Bipolar - VBIC, Mextram, HiCUM MOS - HiSIM, HiSIM HV, PSP, BSIM3, BSIM4, EKV Custom developed models in C language or Verilog-A Models are not part of simulators. They are organized as a separate dynamically linkable library of models, each with its own release and development history. This architecture provides for instant model development, updates and delivery (web based) - 5 -

Advanced Features Flexibility to be creative (very important in demanding analog design) is provided by an abundance of advanced features demanded by skillful analog designers: Topology Checker - Detects opens, shorts, floating connections and other circuit topology flaws Bias Condition Checker - Monitors the voltage bias between specified terminals of the particular device during.tran analysis and detects if the voltage bias is too large and breakdown can occur Interchangeability of Solvers - Allows changing solvers during run time, for example solver1 for.op and solver2 for.tran - 6 -

Advanced Features Hierarchy Exploitation For Speeding up Circuit Loading - Takes advantage of the hierarchy of the simulated circuit Detects expression in the subcircuits (cells) for R, C, L, A devices and places these expressions into the special structure Stop/Recovery for Long Simulations - Stop and recover simulation at designer s specified run time check point SOA - Safe Operating Area monitors the total power consumption of a specified active device and issues a warning if the total power exceeds the specified limit - 7 -

Product Integration Simulator (SmartSpice, SmartSpiceRF and Harmony) integration with Gateway (Schematic Editor) provides for: Cross Probing Marching Waveforms Back Annotation Auto Netlist Generation, etc Schematic Driven layout integration between Gateway and Expert (Layout Editor) provides for data flow between the circuit topology and layout editor The ultimate integration is a design flow of Gateway, Simulators and Expert with a family of Optimizers for automatic generation fo circuit derivatives - 8 -

Large Circuit Simulation 64-bit simulators have no upper limit on circuit size. Limitation is only a computing platform Simulation performance is maintained with: Full multi-threading on model and solver level (see benchmark results) Fast pre-processing mode Binless modeling approach Pre-complied binaries of model parameter expressions and non-linear device derivatives Built-in RC reduction for simulating large designs with significant contents of RCL parasitics - 9 -

Benchmark Results - 10 -

HSPICE/Spectre Compatibility TSMC certified (April 2008) to be 100% HSPICE compatible for models, syntax, analysis, output formats, etc. HSPICE compatibility is maintained since 1992, so legacy designs can be easily supported and HSPICE design flows replaced with SmartSpice 100% SPECTRE compatible for models, syntax, analysis output formats etc., since 2006 Seamlessly integrated into Analog Artist environment by using Cadence internal data formats and commutations protocols therefore not needing any more buggy CDS SPICE and OASIS simulation environment - 11 -

Advance Affordable Licensing Unlimited site licensing and modern Universal and EDA Token licensing allow design houses to build cost effective designs flows - 12 -

Universal Tokens Universal Tokens - Provide instant access to all tools without re-issuing licenses. Each tool draws a tool-specific number of tokens from a universal token pool. When a tool is no longer in use, its tokens are automatically returned to the pool for immediate re-use by any tool. Tokens are purchased on a yearly basis to match ongoing software needs. Peak demand can be accommodated by supplementing the existing token pool with additional tokens purchased on a daily basis. Tokens can be shared between all company locations worldwide. Universal tokens provide an unprecedented Return on Investment (ROI). - 13 -

Conclusion Silvaco delivers cost effective, technically modern, most powerful and complete solution for low power electronic design needs - 14 -