EE 109 Unit 8 MIPS Instruction Set

Similar documents
Mark Redekopp, All rights reserved. EE 352 Unit 3 MIPS ISA

EE 109 Unit 13 MIPS Instruction Set. Instruction Set Architecture (ISA) Components of an ISA INSTRUCTION SET OVERVIEW

MIPS ISA. 1. Data and Address Size 8-, 16-, 32-, 64-bit 2. Which instructions does the processor support

Mark Redekopp, All rights reserved. EE 357 Unit 11 MIPS ISA

EE 109 Unit 10 MIPS Instruction Set

EE 109 Unit 10 MIPS Instruction Set. MIPS Processor and Bus Interface. Instruction Set Architecture (ISA) MIPS INSTRUCTION OVERVIEW

The MIPS Instruction Set Architecture

Reduced Instruction Set Computer (RISC)

Reduced Instruction Set Computer (RISC)

EEM 486: Computer Architecture. Lecture 2. MIPS Instruction Set Architecture

Computer Architecture. The Language of the Machine

Computer Architecture. MIPS Instruction Set Architecture

CPS311 - COMPUTER ORGANIZATION. A bit of history

101 Assembly. ENGR 3410 Computer Architecture Mark L. Chang Fall 2009

MIPS Instruction Set

CISC 662 Graduate Computer Architecture. Lecture 4 - ISA MIPS ISA. In a CPU. (vonneumann) Processor Organization

ECE232: Hardware Organization and Design. Computer Organization - Previously covered

CISC 662 Graduate Computer Architecture. Lecture 4 - ISA

Instructions: Language of the Computer

MIPS Instruction Reference

Assembly Programming

MIPS Reference Guide

Computer Architecture

M2 Instruction Set Architecture

Instruction Set Architecture of. MIPS Processor. MIPS Processor. MIPS Registers (continued) MIPS Registers

Overview. Introduction to the MIPS ISA. MIPS ISA Overview. Overview (2)

F. Appendix 6 MIPS Instruction Reference

Concocting an Instruction Set

MIPS Assembly Language. Today s Lecture

CS3350B Computer Architecture MIPS Instruction Representation

Review: MIPS Organization

Today s Lecture. MIPS Assembly Language. Review: What Must be Specified? Review: A Program. Review: MIPS Instruction Formats

5/17/2012. Recap from Last Time. CSE 2021: Computer Organization. The RISC Philosophy. Levels of Programming. Stored Program Computers

Recap from Last Time. CSE 2021: Computer Organization. Levels of Programming. The RISC Philosophy 5/19/2011

MIPS%Assembly% E155%

ECE 2035 Programming HW/SW Systems Fall problems, 7 pages Exam Two 23 October 2013

A Processor. Kevin Walsh CS 3410, Spring 2010 Computer Science Cornell University. See: P&H Chapter , 4.1-3

Introduction to the MIPS. Lecture for CPSC 5155 Edward Bosworth, Ph.D. Computer Science Department Columbus State University

Lecture 2. Instructions: Language of the Computer (Chapter 2 of the textbook)

ECE260: Fundamentals of Computer Engineering

A General-Purpose Computer The von Neumann Model. Concocting an Instruction Set. Meaning of an Instruction. Anatomy of an Instruction

MIPS Instruction Format

MACHINE LANGUAGE. To work with the machine, we need a translator.

Chapter 2. Instructions: Language of the Computer. HW#1: 1.3 all, 1.4 all, 1.6.1, , , , , and Due date: one week.

TSK3000A - Generic Instructions

ECE 15B Computer Organization Spring 2010

Anne Bracy CS 3410 Computer Science Cornell University. See P&H Chapter: , , Appendix B

INSTRUCTION SET COMPARISONS

Instructions: Language of the Computer

Assembly Language. Prof. Dr. Antônio Augusto Fröhlich. Sep 2006

Chapter 2A Instructions: Language of the Computer

Today s topics. MIPS operations and operands. MIPS arithmetic. CS/COE1541: Introduction to Computer Architecture. A Review of MIPS ISA.

ECE260: Fundamentals of Computer Engineering

Processor. Han Wang CS3410, Spring 2012 Computer Science Cornell University. See P&H Chapter , 4.1 4

Arithmetic for Computers

Concocting an Instruction Set

Course Administration

Outline. EEL-4713 Computer Architecture Multipliers and shifters. Deriving requirements of ALU. MIPS arithmetic instructions

Instruction Set Architecture part 1 (Introduction) Mehran Rezaei

ISA and RISCV. CASS 2018 Lavanya Ramapantulu

CENG3420 Lecture 03 Review

Chapter 2. Instructions: Language of the Computer. Adapted by Paulo Lopes

Instructions: MIPS ISA. Chapter 2 Instructions: Language of the Computer 1

ece4750-parc-isa.txt

ECE 154A Introduction to. Fall 2012

Anne Bracy CS 3410 Computer Science Cornell University. [K. Bala, A. Bracy, E. Sirer, and H. Weatherspoon]

Review of instruction set architectures

Flow of Control -- Conditional branch instructions

EE108B Lecture 3. MIPS Assembly Language II

Math 230 Assembly Programming (AKA Computer Organization) Spring 2008

Examples of branch instructions

CSCI 402: Computer Architectures

Q1: /30 Q2: /25 Q3: /45. Total: /100

Computer Organization and Structure. Bing-Yu Chen National Taiwan University

Review. Lecture #9 MIPS Logical & Shift Ops, and Instruction Representation I Logical Operators (1/3) Bitwise Operations

ECE Exam I February 19 th, :00 pm 4:25pm

Computer Organization & Design

Concocting an Instruction Set

MIPS R-format Instructions. Representing Instructions. Hexadecimal. R-format Example. MIPS I-format Example. MIPS I-format Instructions

Computer Organization MIPS ISA

Announcements HW1 is due on this Friday (Sept 12th) Appendix A is very helpful to HW1. Check out system calls

Instruction Set Principles. (Appendix B)

ECE 2035 Programming HW/SW Systems Spring problems, 6 pages Exam Two 11 March Your Name (please print) total

ECE331: Hardware Organization and Design

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: MIPS Instruction Set Architecture

ISA: The Hardware Software Interface

Programming the processor

ECE 2035 Programming HW/SW Systems Fall problems, 6 pages Exam Two 23 October Your Name (please print clearly) Signed.

CSCI 402: Computer Architectures. Instructions: Language of the Computer (3) Fengguang Song Department of Computer & Information Science IUPUI.

Concocting an Instruction Set

Programmable Machines

Programmable Machines

CS 61c: Great Ideas in Computer Architecture

Computer Organization and Structure. Bing-Yu Chen National Taiwan University

Number Systems and Their Representations

Midterm. Sticker winners: if you got >= 50 / 67

ECE 2035 A Programming Hw/Sw Systems Fall problems, 8 pages Final Exam 8 December 2014

A Processor! Hakim Weatherspoon CS 3410, Spring 2010 Computer Science Cornell University. See: P&H Chapter , 4.1-3

ECE 2035 Programming HW/SW Systems Spring problems, 6 pages Exam One 4 February Your Name (please print clearly)

Computer Architecture Instruction Set Architecture part 2. Mehran Rezaei

Transcription:

1 EE 109 Unit 8 MIPS Instruction Set

2 Architecting a vocabulary for the HW INSTRUCTION SET OVERVIEW

3 Instruction Set Architecture (ISA) Defines the software interface of the processor and memory system Instruction set is the vocabulary the HW can understand and the SW is composed with 2 approaches CISC = Complex instruction set computer Large, rich vocabulary More work per instruction but slower HW RISC = Reduced instruction set computer Small, basic, but sufficient vocabulary Less work per instruction but faster HW

4 Components of an ISA 1. Data and Address Size 8-, 16-, 32-, 64-bit 2. Which instructions does the processor support SUBtract instruc. vs. NEGate + ADD instrucs. 3. Length and format of instructions How is the operation and operands represented with 1 s and 0 s 4. Registers accessible to the instructions Faster than accessing data from memory 5. Addressing Modes How instructions can specify location of data operands

5 Historic Progression of Data Size & Registers Processor Year Trans. Count Data Size GPRs 8088 1979 29K 8 8 80286 1982 134K 16 8 80386/486 85/ 89 275K/1.1 8M 32 8 Pentium 1993 3.1M 32 >8 Pentium 4 2000 42M 32 >= 128 Core 2 Duo 2006 291M 64 >= 128 6-core Core i7 2011 2.27B 64 >= 128 MIPS 1999 var. 32 32

6 General Instruction Format Issues Instructions must specify three things: Operation (OpCode) Source operands Usually 2 source operands (e.g. X+Y) Destination Location Example: ADD $8, $9, $10 ($8 = $9 + $10 where $ = Register) Binary (machine-code) representation broken into fields of bits for each part OpCode Src. 1 Src. 2 Dest. Shift Amount Function 000000 01001 01010 01000 00000 100000 Arith. $9 $10 $8 Unused Add

7 Historical Instruction Formats Different instruction sets specify these differently 3 operand instruction set (MIPS, PPC, ARM) Similar to example on previous page Format: ADD DST, SRC1, SRC2 (DST = SRC1 + SRC2) 2 operand instructions (Intel / Motorola 68K) Second operand doubles as source and destination Format: ADD SRC1, S2/D (S2/D = SRC1 + S2/D) 1 operand instructions (Old Intel FP, Low-End Embedded) Implicit operand to every instruction usually known as the Accumulator (or ACC) register Format: ADD SRC1 (ACC = ACC + SRC1)

8 Historical Instruction Format Examples Consider the pros and cons of each format when performing the set of operations F = X + Y Z G = A + B Simple embedded computers often use single operand format Smaller data size (8-bit or 16-bit machines) means limited instruc. size Modern, high performance processors use 2- and 3-operand formats Single-Operand Two-Operand Three-Operand LOAD X MOVE F,X ADD F,X,Y ADD Y ADD F,Y SUB F,F,Z SUB Z SUB F,Z ADD G,A,B STORE F MOVE G,A LOAD A ADD G,B ADD B STORE G (+) Smaller size to encode each instruction (-) Higher instruction count to load and store ACC value Compromise of two extremes (+) More natural program style (+) Smaller instruction count (-) Larger size to encode each instruction

9 MIPS Instruction Format 3 Register operand format Most ALU instructions use 3 registers as their operands All operations are performed on entire 32- bits (no size distinction) Example: ADD $t0, $t1, $t2 Load/Store architecture Load (read) data values from memory into a register Perform operations on registers Store (write) data values back to memory Different load/store instructions for different operand sizes (i.e. byte, half, word) Load/Store Architecture Proc. Mem. 1.) Load operands to proc. registers Proc. Mem. 2.) Proc. Performs operation using register values Proc. Mem. 3.) Store results back to memory

10 Which Instructions In this class we'll focus on assembly to do the following tasks (shown with the corresponding MIPS assembly mnemonics) Load variables (data) from memory (or I/O) [LW,LH,LB] Perform arithmetic, logical, and shift instructions in the CPU [ADD,SUB,AND,OR,SLL,SRL,SRA] Store variables (data) back to memory after computation is complete [SW, SH, SB] Compare data [SLT] "Branch" to other code (to implement if and loops) [BEQ,BNE,J] Call subroutines/functions [JAL, JR]

MIPS INSTRUCTION OVERVIEW 11

12 MIPS ISA RISC Style 32-bit internal / 32-bit external data size Registers and ALU are 32-bits wide Memory bus is logically 32-bits wide (though may be physically wider) Registers 32 General Purpose Registers (GPR s) For integer and address values A few are used for specific tasks/values 32 Floating point registers Fixed size instructions All instructions encoded as a single 32-bit word Three operand instruction format (dest, src1, src2) Load/store architecture (all data operands must be in registers and thus loaded from and stored to memory explicitly)

13 MIPS GPR s Assembler Name Reg. Number Description $zero $0 Constant 0 value $at $1 Assembler temporary $v0-$v1 $2-$3 Procedure return values or expression evaluation $a0-$a3 $4-$7 Arguments/parameters $t0-$t7 $8-$15 Temporaries $s0-$s7 $16-$23 Saved Temporaries $t8-$t9 $24-$25 Temporaries $k0-$k1 $26-$27 Reserved for OS kernel $gp $28 Global Pointer (Global and static variables/data) $sp $29 Stack Pointer $fp $30 Frame Pointer $ra $31 Return address for current procedure Avoid using the yellow (highlighted) registers for anything other than its stated use

14 MIPS Programmer-Visible Registers General Purpose Registers (GPR s) Hold data operands or addresses (pointers) to data stored in memory Special Purpose Registers PC: Program Counter (32-bits) Holds the address of the next instruction to be fetched from memory & executed HI: Hi-Half Reg. (32-bits) For MUL, holds 32 MSB s of result. For DIV, holds 32-bit remainder LO: Lo-Half Reg. (32-bits) For MUL, holds 32 LSB s of result. For DIV, holds 32-bit quotient PC: GPR s $0 - $31 32-bits Recall multiplying two 32-bit numbers yields a 64-bit result HI: LO: MIPS Core Special Purpose Registers

15 Instruction Format 32-bit Fixed Size Instructions broken into 3 types (R-, I-, and J-) based on which bits mean what R-Type Arithmetic/Logic instructions 3 register operands or shift amount I-Type Use for data transfer, branches, etc. 2 registers + 16-bit const. J-Type 26-bit jump address We'll cover this later R-Type I-Type J-Type 6-bits opcode 6-bits opcode 6-bits opcode 5-bits rs (src1) 5-bits rs (src1) 5-bits rt (src2) 5-bits rt (src/dst) 5-bits rd (dest) 26-bits Jump address 5-bits shamt 16-bits immediate 6-bits function add $5,$7,$8 000000 00111 01000 00101 00000 100000 lw $18, -4($3) 100011 00011 10010 1111 1111 1111 1100 j 0x0400018 000010 0000 0100 0000 0000 0000 0001 10 Each type uses portions of the instruction to "code" certain aspects of the instruction. But they all start with an opcode that helps determine which type will be used.

16 Performing Arithmetic, Logic, and Shift Operations IMPORTANT R-TYPE INSTRUCTIONS

17 R-Type Instructions Format 6-bits 5-bits 5-bits 5-bits 5-bits 6-bits opcode rs (src1) rt (src2) rd (dest) shamt function rs, rt, rd are 5-bit fields for register numbers shamt = shift amount and is used for shift instructions indicating # of places to shift bits opcode and func identify actual operation (e.g. ADD, SUB) Example: ADD $5, $24, $17 opcode rs rt rd shamt func 000000 11000 10001 00101 00000 100000 Arith. Inst. $24 $17 $5 unused ADD

18 R-Type Arithmetic/Logic Instructions C operator Assembly Notes + ADD Rd, Rs, Rt d=destination, s = src1, t = src2 - SUB Rd, Rs, Rt Order: R[s] R[t]. SUBU for unsigned * MULT Rs, Rt MULTU Rs, Rt Result in HI/LO. Use mfhi and mflo instruction to move results * MUL Rd, Rs, Rt If multiply won t overflow 32-bit result / DIV Rs, Rt DIVU Rs, Rt & AND Rd, Rs, Rt OR Rd, Rs, Rt ^ XOR Rd, Rs, Rt R[s] / R[t]. Remainder in HI, quotient in LO ~( ) NOR Rd, Rs, Rt Can be used for bitwise-not (~) << SLL Rd, Rs, shamt SLLV Rd, Rs, Rt >> (signed) SRA Rd, Rs, shamt SRAV Rd, Rs, Rt >> (unsigned) SRL Rd, Rs, shamt SRLV Rd, Rs, Rt <, >, <=, >= SLT Rd, Rs, Rt SLTU Rd, Rs, Rt Shifts R[s] left by shamt (shift amount) or R[t] bits Shifts R[s] right by shamt or R[t] bits replicating sign bit to maintain sign Shifts R[s] left by shamt or R[t] bits shifting in 0 s Order: R[s] R[t]. Sets R[d]=1 if R[s] < R[t], 0 otherwise

19 Logical Operations Should already be familiar with (sick of) these! Logic operations are usually performed on a pair of bits X1 X2 AND X1 X2 OR X1 X2 XOR X1 NOT 0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 1 1 0 1 1 1 0 1 0 0 1 0 1 1 0 1 1 1 1 1 1 1 1 1 0 AND Output is true if both inputs are true 0 AND x = 0 1 AND x = x x AND x = x OR Output is true if any input is true 0 OR x = x 1 OR x = 1 x OR x = x XOR Output is true if exactly one input is true 0 XOR x = x 1 XOR x = NOT x x XOR x = 0 NOT Output is inverse of input

20 Logical Operations Logic operations on numbers means performing the operation on each pair of bits Initial Conditions: $1 = 0xF0, $2 = 0x3C 1 AND $2,$1,$2 R[2] = 0x30 0xF0 AND 0x3C 0x30 1111 0000 AND 0011 1100 0011 0000 2 OR $2,$1,$2 R[2] = 0xFC 0xF0 OR 0x3C 0xFC 1111 0000 OR 0011 1100 1111 1100 3 XOR $2,$1,$2 R[2] = 0xCC 0xF0 XOR 0x3C 0xCC 1111 0000 XOR 0011 1100 1100 1100

21 Logical Operations Logic operations on numbers means performing the operation on each pair of bits Initial Conditions: $1= 0xF0, $2 = 0x3C 4 NOR $2,$1,$2 R[2] = 0x03 0xF0 NOR 0x3C 0x03 1111 0000 NOR 0011 1100 0000 0011 Bitwise NOT operation can be performed by NOR ing register with itself NOR $2,$1,$1 R[2] = 0x0F 0xF0 NOR 0xF0 0x0F 1111 0000 NOR 1111 0000 0000 1111

22 Shift Operations Shifts data bits either left or right Bits shifted out and dropped on one side Usually (but not always) 0 s are shifted in on the other side In addition to just moving bits around, shifting is a fast way to multiply or divide a number by powers of 2 (see next slides) 2 kinds of shifts Logical shifts (used for unsigned numbers) Arithmetic shifts (used for signed numbers) Right Shift by 2 bits: 0 0 0 0 1 1 0 0 Original Data Left Shift by 2 bits: 0 0 0 0 1 0 1 0 Original Data 0 s shifted in 0 s shifted in 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 1 0 0 0 Shifted by 2 bits Shifted by 2 bits

23 Logical Shift vs. Arithmetic Shift Logical Shift Use for unsigned or nonnumeric data Will always shift in 0 s whether it be a left or right shift Arithmetic Shift Use for signed data Left shift will shift in 0 s Right shift will sign extend (replicate the sign bit) rather than shift in 0 s If negative number stays negative by shifting in 1 s If positive stays positive by shifting in 0 s 0 0 Left shift Left shift 0 Right shift Copies of MSB are shifted in Right shift

24 Logical Shift 0 s shifted in Only use for operations on unsigned data Right shift by n-bits = Dividing by 2 n Left shift by n-bits = Multiplying by 2 n 0 x 0 0 0 0 0 0 0 C 0... 0 1 1 0 0 = +12 Logical Right Shift by 2 bits: Logical Left Shift by 3 bits: 0 s shifted in 0 s shifted in 0 0... 0 0 1 1 = +3... 0 1 1 0 0 0 0 0 = +96 0 x 0 0 0 0 0 0 0 3 0 x 0 0 0 0 0 0 6 0

25 Arithmetic Shift Use for operations on signed data Arithmetic Right Shift replicate MSB Right shift by n-bits = Dividing by 2 n Arithmetic Left Shift shifts in 0 s Left shift by n-bits = Multiplying by 2 n 0 x F F F F F F F C 1 1... 1 1 0 0 = -4 Arithmetic Right Shift by 2 bits: MSB replicated and shifted in 1 1 1... 1 1 1 0 x F F F F F F F F Notice if we shifted in 0 s (like a logical right shift) our result would be a positive number and the division wouldn t work Arithmetic Left Shift by 2 bits: 0 s shifted in = -1 1... 1 0 0 0 0 = -16 0 x F F F F F F F 0 Notice there is no difference between an arithmetic and logical left shift. We always shift in 0 s.

26 MIPS Logical Shift Instructions SRL instruction Shift Right Logical SLL instruction Shift Left Logical Format: SxL rd, rt, shamt SxLV rd, rt, rs Notes: shamt limited to a 5-bit value (0-31) SxLV shifts data in rt by number of places specified in rs Examples SRL $5, $12, 7 // Shifts data in reg. $12 right by 7 places SLLV $5, $12, $20 // If $20=5, shift data in $12 left by 5 places opcode rs 000000 00000 rt 01100 rd 00101 shamt 00111 func 000010 Arith. Inst. unused $12 $5 7 SRL 000000 10100 01100 00101 00000 000100 Arith. Inst. $20 $12 $5 unused SLLV

27 MIPS Arithmetic Shift Instruction SRA instruction Shift Right Arithmetic No arithmetic left shift (use SLL for arithmetic left shift) Format: SRA rd, rt, shamt SRAV rd, rt, rs Notes: shamt limited to a 5-bit value (0-31) SRAV shifts data in rt by number of places specified in rs Examples SRA $5, $12, 7 SRAV $5, $12, $20 opcode rs 000000 00000 rt 01100 rd 00101 shamt 00111 func 000011 Arith. Inst. unused $12 $5 7 SRA 000000 10100 01100 00101 00000 000111 Arith. Inst. $20 $12 $5 unused SRAV

28 I-Type Instructions I-Type (Immediate) Format 6-bits 5-bits 5-bits 16-bits opcode rs (src1) rt (src/dst) immediate rs, rt are 5-bit fields for register numbers I = Immediate is a 16-bit constant opcode identifies actual operation Example: ADDI $5, $24, 1 LW $5, -8($3) LW is explained in the next section but is an example of an instruction using the I-type format opcode rs 001000 11000 rt 00101 ADDI $24 $5 010111 00011 00101 LW $3 $5 immediate 0000 0000 0000 0001 1 1111 1111 1111 1000-8

29 Immediate Operands Most ALU instructions also have an immediate form to be used when one operand is a constant value Syntax: ADDI Rs, Rt, imm Because immediates are limited to 16-bits, they must be extended to a full 32- bits when used the by the processor Arithmetic instructions always sign-extend to a full 32-bits even for unsigned instructions (addiu) Logical instructions always zero-extend to a full 32-bits Examples: ADDI $4, $5, -1 // R[4] = R[5] + 0xFFFFFFFF ORI $10, $14, -4 // R[10] = R[14] 0x0000FFFC Arithmetic ADDI ADDIU SLTI SLTIU Logical ANDI ORI XORI Note: SUBI is unnecessary since we can use ADDI with a negative immediate value

30 Set If Less-Than SLT $rd, $rs, $rt Compares $rs value with $rt value and stores Boolean (1 = true, 0 = false) value into $rd C code equivalent: bool rd = (rs < rt); $rd can only be 0x0000001 or 0x00000000 after execution Assumes signed integer comparison SLTI $rd, $rs, immediate Same as above but now 2 nd source is a constant Initial Conditions: $1= 0xffffffff, $2 = 0x00000000 $3 = 0x000000ff SLT $4, $1, $2 $4 = 0x00000001 SLT $4, $3, $3 $4 = 0x00000000 SLT $4, $3, $1 $4 = 0x00000000 SLTI $4, $2, 35 $4 = 0x00000001 SLTI $4, $3, -7 $4 = 0x00000000

31 Loading (Reading) and Storing (Writing) Data From and To Memory DATA TRANSFER AND MEMORY ACCESS INSTRUCTIONS

32 Physical Memory Organization Physical view of memory as large 2-D array of bytes (8K rows by 1KB columns) per chip (and several chips) Address is broken into fields of bits that are used to identify where in the array the desired 32-bit word is Processor always accesses memory chunks the size of the data bus, selecting only the desired bytes as specified by the instruction Proc. A D 0x00000404 32 32............ Physical View of Memory 0x000800 0x000400 0x000000 Assume each unit is a word 0x0404 = Rank/Bank Row Col XX 00000 0000000000001 00000001 00 Sample Address Breakdown

33 MIPS Supported Data Sizes Integer 3 Sizes Defined Byte (B) 8-bits Halfword (H) 16-bits = 2 bytes Word (W) 32-bits = 4 bytes Floating Point 3 Sizes Defined Single (S) 32-bits = 4 bytes Double (D) 64-bits = 8 bytes (For a 32-bit data bus, a double would be accessed from memory in 2 reads)

34 MIPS Memory Organization We can logically picture memory in the units (sizes) that we actually access them Most processors are byteaddressable Every byte (8-bits) has a unique address 32-bit address bus => 4 GB address space However, 32-bit logical data bus allows us to access 4-bytes of data at a time Logical view of memory arranged in rows of 4-bytes Still with separate addresses for each byte int x,y=5;z=8; x = y+z; Proc. A D 32 32 F8 13 5A Mem. 0x000002 0x000001 0x000000 Logical Byte-Oriented View of Mem. 8E 7C AD F8 33 13 29 5A 0x000008 0x000004 0x000000 Logical Word-Oriented View Recall variables live in memory & need to be loaded into the processor to be used

35 Memory & Data Size Little-endian memory can be thought of as right justified Always provide the LS-Byte address of the desired data Size is explicitly defined by the instruction used Memory Access Rules Registers: Halfword or Word access must start on an address that is a multiple of that data size (i.e. half = multiple of 2, word = multiple of 4) Byte 31 15 0 Half 31 0 Word (Assume start address = N) LB Used to load a 1- byte var. (char) LH LW Used to load a 4- byte variable (int) N+3 N+2 N+1 Byte operations only access the byte at the specified address N+3 N+2 N+1 Halfword operations access the 2-bytes starting at the specified address N+3 N+2 N+1 Memory Word operations access the 4-bytes starting at the specified address N N N

36 Memory Read Instructions (Signed) GPR 31 7 0 Sign Extend If address = 0x02 Reg. = 0x00000013 Byte LB (Load Byte) Provide address of desired byte Memory 000004 5A 13 F8 7C 000000 31 15 0 Sign Extend Half If address = 0x00 Reg. = 0xFFFFF87C LH (Load Half) Provide address of starting byte 000004 5A 13 F8 7C 000000 31 0 Word If address = 0x00 Reg. = 0x5A13F87C LW (Load Word) Provide address of starting byte 000004 5A 13 F8 7C 000000

37 Memory Read Instructions (Unsigned) GPR 31 7 0 Zero Extend If address = 0x01 Reg. = 0x000000F8 Byte LBU (Load Byte) Provide address of desired byte Memory 000004 5A 13 F8 7C 000000 31 15 0 Zero Extend Half If address = 0x00 Reg. = 0x0000F87C LHU (Load Half) Provide address of starting byte 000004 5A 13 F8 7C 000000 31 0 Word If address = 0x00 Reg. = 0x5A13F87C LW (Load Word) Provide address of starting byte 000004 5A 13 F8 7C 000000

38 Memory Write Instructions GPR 31 7 0 Byte Reg. = 0x12345678 SB (Store Byte) Provide address of desired byte Memory 000004 5A 78 F8 7C 000000 if address = 0x02 31 15 0 Half Reg. = 0x12345678 SH (Store Half) Provide address of starting byte 000004 56 78 F8 7C 000000 if address = 0x02 31 0 Word Reg. = 0x12345678 SW (Store Word) Provide address of starting byte 000004 12 34 56 78 000000 if address = 0x00

39 MIPS Memory Alignment Limitations Bytes can start at any address Halfwords must start on an even address Words must start on an address that is a multiple of 4 Examples: Word @ A18C good (multiple of 4) Halfword @ FFE6 good (even) Word @ A18E invalid (non-multiple of 4) Halfword @ FFE5 invalid (odd) Addr Data Control Addr Data Control EA 7C EA 52 7C C1 29 4B F8 13 5A Valid Accesses C1 29 4B BD CF 49 F8 13 5A Invalid Accesses 00FFE4 00A18C 00FFE4 00A18C

40 Load Format (LW,LH,LB) Syntax: LW $rt, offset($rs) $rt = Destination register offset($rs) = Address of desired data Operation: $rt = Mem[ offset + $rs ] offset limited to 16-bit signed number Examples LW $2, 0x40($3) // $2 = 0x5A12C5B7 LBU $2, -1($4) // $2 = 0x000000F8 LH $2, 0xFFFC($4) // $2 = 0xFFFF97CD $2 old val. F8BE97CD 0x002048 $3 00002000 134982FE 0x002044 $4 0000204C Registers 5A12C5B7 Memory 0x002040 Address

41 More LOAD Examples Examples LB $2,0x45($3) // $2 = 0xFFFFFF82 LH $2,-6($4) // $2 = 0x00001349 LHU $2, -2($4) // $2 = 0x0000F8BE $2 old val. F8BE97CD 0x002048 $3 00002000 134982FE 0x002044 $4 0000204C Registers 5A12C5B7 Memory 0x002040 Address

42 Store Format (SW,SH,SB) SW $rt, offset($rs) $rt = Source register offset($rs) = Address to store data Operation: Mem[ offset + $rs ] = $rt offset limited to 16-bit signed number Examples SW $2, 0x40($3) SB $2, -5($4) SH $2, 0xFFFE($4) $2 123489AB 89AB97CD 0x002048 $3 00002000 AB4982FE 0x002044 $4 0000204C Registers 123489AB Memory 0x002040 Address

43 Loading an Immediate If immediate (constant) 16-bits or less Use ORI or ADDI instruction with $0 register Examples ADDI $2, $0, 1 // $2 = 0 + 1 = 1 ORI $2, $0, 0xF110 // $2 = 0 0xF110 = 0xF110 If immediate more than 16-bits Immediates limited to 16-bits so we must load constant with a 2 instruction sequence using the special LUI (Load Upper Immediate) instruction To load $2 with 0x12345678 LUI ORI $2,0x1234 $2,$2,0x5678 $2 $2 12340000 OR 00005678 12345678 LUI ORI

44 "Be the Compiler" TRANSLATING HIGH-LEVEL CODE

45 Translating HLL to Assembly HLL variables are simply locations in memory A variable name really translates to an address in C assembly operator Assembly Notes int x,y,z; x = y + z; LUI $8, 0x1000 ORI $8, $8, 0x0004 LW $9, 4($8) LW $10, 8($8) ADD $9,$9,$10 SW $9, 0($8) Assume x @ 0x10000004 & y @ 0x10000008 & z @ 0x1000000C char a[100]; a[1]--; LUI $8, 0x1000 ORI $8, $8, 0x000C LB $9, 1($8) ADDI $9,$9,-1 SB $9,1($8) Assume array a starts @ 0x1000000C

46 Translating HLL to Assembly C operator Assembly Notes int dat[4],x; x = dat[0]; x += dat[1]; LUI $8, 0x1000 ORI $8, $8, 0x0010 LW $9, 0($8) LW $10, 4($8) ADD $9,$9,$10 SW $9, 16($8) Assume dat @ 0x10000010 & x @ 0x10000020 unsigned int y; short z; y = y / 4; z = z << 3; LUI $8, 0x1000 ORI $8, $8, 0x0010 LW $9, 0($8) SRL $9, $9, 2 SW $9, 0($8) LH $9, 4($8) SLA $9, $9, 3 SH $9, 4($8) Assume y @ 0x10000010 & z @ 0x10000014

47 Not Used in Fall 2014 OLD

48 Shorthand Notation Shorthand notation for describing processor / memory (assembly) operations R[n] = value of GPR n F[n] = value of FP reg. n M[n] = value of memory at address n Examples R[1] = R[2] + R[3] R[5] = M[40 + R[1] ] Use the value of R1 + 40 as the address to read memory & place data in R5

49 Unsigned Multiplication Review Same rules as decimal multiplication Multiply each bit of Q by M shifting as you go An m-bit * n-bit mult. produces an m+n bit result Notice each partial product is a shifted copy of M or 0 (zero) 1010 * 1011 1010 1010_ 0000 + 1010 01101110 M (Multiplicand) Q (Multiplier) PP(Partial Products) P (Product)

50 Signed Multiplication Techniques When multiplying signed (2 s comp.) numbers, some new issues arise Must sign extend partial products (out to 2n bits) Without Sign Extension Wrong Answer! 1001 * 0110 0000 1001_ 1001 + 0000 00110110 = -7 = +6 = +54 With Sign Extension Correct Answer! 1001 * 0110 00000000 1111001_ 111001 + 00000 11010110 = -7 = +6 = -42

51 Signed Multiplication Techniques Also, must worry about negative multiplier MSB of multiplier has negative weight If MSB=1, multiply by -1 (i.e. take 2 s comp. of multiplicand) With Sign Extension but w/o consideration of MSB Wrong Answer! 1100 * 1010 00000000 1111100_ 000000 + 11100 11011000 = -4 = -6 = -40 Place Value: -8 Multiply by -1 With Sign Extension and w/ consideration of MSB Correct Answer! 1100 * 1010 00000000 1111100_ 000000 + 00100 00011000 = -4 = -6 = +24 Main Point: Signed and Unsigned Multiplication require different techniques Thus different instructions.