SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

Similar documents
Harmony-AMS Analog/Mixed-Signal Simulator

Lecture 9. Introduction to Analog. Jaeha Kim Mixed-Signal IC and System Group (MICS) Seoul National University

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

Analog IC Simulation. Mentor Graphics 2006

Guidelines for Verilog-A Compact Model Coding

Single Vendor Design Flow Solutions for Low Power Electronics

SmartSpice Training Program. Part 6: SmartSpice Lab Instructions

Parameterize behavioral models using WiCkeD Modeling

THE DESIGNER S GUIDE TO VERILOG-AMS

EE 471: Transport Phenomena in Solid State Devices

SmartSpice Analog Circuit Simulator Product Update. Yokohama, June 2004 Workshop

BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique

ECEN 468 Advanced Logic Design

6. Latches and Memories

VLSI Test Technology and Reliability (ET4076)

PSpice with Orcad 10

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

Parag Choudhary Engineering Architect

Utmost III. Device Characterization and Modeling

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Analog and Telecommunication Electronics

Trends and Challenges

MODELING PHASE-LOCKED LOOPS USING VERILOG

Reliability Simulation based on Verilog-A. Marq Kole Behavioral Modelling And Simulation conference

EE 330 Spring Laboratory 2: Basic Boolean Circuits

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

High-speed Serial Interface

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 18. Introduction to Verilog-A/Verilog-AMS

Tutorial: How to (and How NOT to) Write a Compact Model in Verilog-A

ECE 546 HOMEWORK No 10 Due Thursday, April 19, yes last

Comprehensive design and verification with the industry s leading simulators

Exp#8: Designing a Programmable Sequence Detector

AMS Behavioral Modeling

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it.

Efficient Modeling and Verification of Analog/Mixed-Signal Circuits

Introduction to laboratory exercises in Digital IC Design.

Introduction. Purpose. Intended Audience. Conventions. Close

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2

Basic Sample and Hold Element. Prof. Paul Hasler Georgia Institute of Technology

CCS Technical Documentation NHL-2NA Series Transceivers. Camera Module

TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC

EECS150 - Digital Design Lecture 8 - Hardware Description Languages

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

Introduction to Verilog design. Design flow (from the book)

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

High-side Power Distribution Switch NCT3521U

RW CH Segment Driver

PSpice Analog and mixed signal simulation

Previous versions supported SIMPLIS only. Now DVM has been enhanced to allow design verification using the SIMetrix simulator.

1. Working with PSpice:

Dynamic CMOS Logic Gate

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016

AccuCell Technical Training. AccuCell Commands & Concepts

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises

TR1002. PLL for DTS IC. Data Sheet

CHAPTER 4 DUAL LOOP SELF BIASED PLL

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

ANALOG MODELING WITH VERILOG-A USING CADENCE TOOLS. Eng. Sherief Fathi

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

A Novel DPS Integrator for Fast CMOS Imagers

Verilog-A Debug Tool: AHDL Linter

register:a group of binary cells suitable for holding binary information flip-flops + gates

PSpice Tutorial. Physics 160 Spring 2006

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun

Laboratory Manual 1, MSPS. Introduction to Behavioral-Level Simulation

Verilog-A Standardization for Compact Modeling

Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial

CrossLink sysclock PLL/DLL Design and Usage Guide

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

Verilog Tutorial (Structure, Test)

101-1 Under-Graduate Project Digital IC Design Flow

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Real Portable Models for System/Verilog/A/AMS

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 11. Introduction to Verilog II Sequential Circuits

A Platform for Compact Model Sharing!

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8)

Correlated Double Sampler (CDS) AD9823

Alfred Sargezi & Zain Ali. AMS Group - San Jose State University ams.sjsu.edu

תכן חומרה בשפת VERILOG הפקולטה להנדסה

Nikhil Gupta. FPGA Challenge Takneek 2012

DDS DAC Output Evaluation Board AD9858PCB

There are three windows that are opened. The screen that you will probably spend the most time in is the SCHEMATIC page.

Cadence simulation technology for PCB design

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

Transcription:

SmartSpice Verilog-A Interface Behavioral and Structural Modeling Tool - Device Model Development

Verilog-A Models and Features Agenda Overview Design Capability Compact Modeling Verilog-A Inteface - 2 -

Verilog-A - As an Extension of SmartSpice Verilog-A Verilog HDL Behavioral Higher level of abstraction Circuit Compact Model Development Switch Gate Verilog-A Inteface - 3 -

Verilog-A Integration into SmartSpice SmartSpice and Verilog-A integration provides designers with an easy to use environment for the design and verification of complex analog and mixed-signal circuits This integration provides the designer the capability to create executable specifications for the system design and, A powerful optimization capability for achieving those specifications VERILOG-A SUPPORTS A TOP-DOWN DESIGN METHODOLOGY Verilog-A Inteface - 4 -

SmartSpice - Verilog-A: Design Capability Circuit designers can control level of design abstraction All abstraction levels can be combined in one hierarchical implementation: Verilog-A Inteface - 5 -

Analog System Description and Simulation with Verilog-A Environment Behavioral Description Description in a programmatic fashion with the Verilog-A language The Behavioral module is defined in terms of the values for each signal Structural Description A Structural module is hierarchically comprised of other child modules Mixed-level Description Combines both Structural and Behavioral module descriptions with SPICE for execution Verilog-A Inteface - 6 -

Single Kernal SmartSpice Simulator Accepts SPICE netlist, C, and Verliog-A in any hierarchy Compatible with Verilog-AMS 2.1 Capability to create symbols for Verilog-A modules for use in schematic capture SmartSpice Optimizer supports dataflow of curves and parameters from Verilog-A behavioral and/or structural modules to an actual circuit architecture Optimization targets can be a combination of.ac,.dc, and.tran curves with target parameter specifications such as propagation delay, rise/fall time, power dissipation, etc. A typical good example is finding ideal transistor lengths and widths and/ or optimal bias voltages and currents Verilog-A Inteface - 7 -

Analog Flow Verilog-A Inteface - 8 -

Application 1: Analog to Digital Converter sampling clock analog in delay time ADC digital out rise/fall time Verilog-A Inteface - 9 -

ADC - Module Description module adc (in, clk, out) ; parameter integer bits=8 ; parameter real fullscale=1.0, dly=5n, ttime=0.2n ; input in, clk ; output [bits-1:0] out ; electrical in, clk ; electrical [bits-1:0] out ; real sample, thresh ; integer result[bits-1:0] ; integer i ; analog begin thresh = fullscale/2.0 ; @( cross( V(clk)-2.5, +1 ) ) begin sample = V(in) ; for( i=bits-1;i>=0;i=i-1 ) begin if( sample>thresh ) begin result[i] = 1 ; sample = sample - thresh ; end else result[i] = 0 ; sample = 2.0 * sample ; end end for( i=0;i<bits;i=i+1 ) begin V(out[i]) <+ transition( 5.0*result[i], dly, ttime ) ; end end endmodule Key features variable input scale variable bit width adjustable output waveform Verilog-A Inteface - 10 -

ADC - Simulation Circuit and Results Verilog-A Inteface - 11 -

Application 2: Shift Register Reduce simulation time while maintain the accuracy out0 out1 out255 data D Q D Q D Q D-FF D-FF D-FF CL clock clear Verilog-A Inteface - 12 -

D-FF Modeling Techniques (1) Delay time is modeled in the following form ; td = td_0 + td_l*fanout + coef*delta_ramp the second term represents fanout-dependency the third term is introduced to take into account ramp-time of clock pulse Rise/Fall time are modeled in the same form as above except the inclusion of third term; tr = tr_0 + tr_l*fanout tf = tf_0 + tf_l*fanout Verilog-A Inteface - 13 -

D-FF Modeling Techniques (2) Instantiate SPICE primitives to represent input capacitor. parameter real c_d = 9f, c_clk = 9f, c_clr = 12f ; capacitor #(.c(c_d)) c1(d,gnd) ; capacitor #(.c(c_clk)) c2(clk,gnd) ; capacitor #(.c(c_clr)) c3(clr,gnd) ; d clk q clr Verilog-A Inteface - 14 -

Shift Register - Simulation Circuit Verilog-A Inteface - 15 -

Shift Register - Simulation Results Good agreement in output waveforms compared with transistor level simulation V(CLK) Verilog-A V(O0) - rise V(O0) - fall transistor level Verilog-A Inteface - 16 -

Application 3: PLL When designing CP block, remaining blocks can be replaced by Verilog-A module reference clock feedback clock Phase Detector (PD) up down Charge Pump (CP) Loop Filter (LPF) Divider /4 Voltage Controlled Oscillator (VCO) VCO in Verilog-A Inteface - 17 -

PPL - VCO Modeling module pll_vco ( in, out ) ; inout in, out ; electrical in, out ; parameter real vdd = 3.3, // operational voltage amp = vdd/2, // amplitude of vout offset = vdd/2, // offset of vout gain = 464e6, // gain [Hz/V] vnom = 1.27, // nominal vin fc = 400e6; // center frequency at vnom real freq ; adjust voltage-freq gain adjust nominal input voltage adjust center-frequency analog begin freq = fc + gain*(v(in) - vnom) ; V(out) <+ amp*sin(2*`m_pi*idt(freq)) + offset ; end endmodule Verilog-A Inteface - 18 -

PLL - Simulation Result (1) t = 0 t = 1.5us reference clock Feedback clock VCO in Verilog-A Inteface - 19 -

PLL - Simulation Result (2) Good agreement in phase-lock characteristic compared with fulltransistor level simulation CP output voltage; full-transistor sim. Verilog-A mixed sim. Verilog-A Inteface - 20 -

PLL - Simulation Statistics Simulation run is 15 times faster The number of transient analysis points can be reduced to half Total simulation time transient analysis time transient analysis points Case1 Verilog-A mixed 50 MOSFET 191s 186 s 85370 pts Case2 full-transistor 347 MOSFET 2951 s 2949 s 166769 pts Verilog-A Inteface - 21 -

Compact Model Development Issues Complexity in model development and model implementation as they try to handle all processes Limited number of models are available Common platform for sharing model information between model developers and circuit designers Addressing proprietary model development ( by foundries and large IC companies) and the distribution of those models to their customers (in source or encrypted format) Verilog-A Inteface - 22 -

SPICE Models in Analog Flow Verilog-A Inteface - 23 -

Compact Model Development SmartSpice Verilog-A Environment allows compact model engineer to easily develop complete (.DC,.TRAN,.AC,.NOISE,.TEMP) compiled proprietary models for specific technology behavior such as leakage, weak / sub-threshold operation, TFT transistors Verilog-A Inteface - 24 -

SmartSpice-Verilog-A Model Architecture A Verilog-A device model development and SmartSpice run-time environment Verilog-A Editor & Debugger Verilog-A Model Source Interpreter SmartSpice Circuit Simulator Compiler Verilog-A Inteface - 25 -

Available Verilog-A Compact Model Code Three BIPOLAR and three MOS models are available for distribution free of charge to SmartSpice users to understand and improve models through their own customization BIPOLAR Gummel-Poon VBIC MEXTRAM MOS Level 3 MOS EKV 3.0 BSIM 4 Verilog-A Inteface - 26 -

Summary SmartSpice and Verilog-A integration provides designers with an easy to use environment for the design and verification of complex analog and mixed-signal circuits Verilog-A has the capability to support complex compact model development Model implementation and distribution can be greatly simplified (Proprietary or Public) Example compact models are available Verilog-A Inteface - 27 -