Selecting PLLs for ASIC Applications Requires Tradeoffs

Similar documents
CHAPTER 4 DUAL LOOP SELF BIASED PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

Section 3 - Backplane Architecture Backplane Designer s Guide

Abstract. Cycle Domain Simulator for Phase-Locked Loops

5. Current Sharing in Power Arrays

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices.

PSEC-4: Review of Architecture, etc. Eric Oberla 27-oct-2012

Agilent Technologies EZJIT and EZJIT Plus Jitter Analysis Software for Infiniium Series Oscilloscopes

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates

Application of Zero Delay Buffers in Switched Ethernet

VCXO Performance Tradeoffs in PLL Designs

Optimal Management of System Clock Networks

LatticeSC sysclock PLL/DLL User s Guide

CHAPTER TWO LITERATURE REVIEW

High-Speed Jitter Testing of XFP Transceivers

Wall Industries SMPS and Microcontrollers

Parameterize behavioral models using WiCkeD Modeling

Timing for Optical Transmission Network (OTN) Equipment. Slobodan Milijevic Maamoun Seido

Design Guidelines for Optimal Results in High-Density FPGAs

From Complicated to Simple with Single-Chip Silicon Clock Generation

Analog ASICs in industrial applications

The Different Types of UPS Systems

Techniques to Reduce Measurement Errors in Challenging Environments

MODELING PHASE-LOCKED LOOPS USING VERILOG

ASNT1016-PQA 16:1 MUX-CMU

Pin Configuration and Selector Guide appear at end of data sheet. Typical Operating Circuits

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL

High-speed Serial Interface

RTL Power Estimation and Optimization

Very Large Scale Integration (VLSI)

Applications Circuits

EPSON. Technical Note. Oscillator Jitter and How to Measure It. Introduction. Jitter. Cycle-Cycle Jitter

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

A Case for Custom Power Management ASIC

High-Performance FPGA PLL Analysis with TimeQuest

Fairchild Semiconductor Application Note December 2000 Revised June What is LVDS? FIGURE 2. Driver/Receiver Schematic

Clock Tree Design Considerations

LVDS applications, testing, and performance evaluation expand.

ASNT8050-PQB GHz and GHz Programmable PLL with integrated VCOs

Data Transmission Definition Data Transmission Analog Transmission Digital Transmission

ALTPLL (Phase-Locked Loop) IP Core User Guide

Characterizing Your PLL-based Designs To Manage System Jitter. Agilent Technologies

High Performance Mixed-Signal Solutions from Aeroflex

IEEE 1394a_2000 Physical Layer ASIC

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Chapter 8 OSI Physical Layer

On-chip Phase Locked Loop (PLL) design for clock multiplier in CMOS Monolithic Active Pixel Sensors (MAPS)

Analyzing Digital Jitter and its Components

Intel MAX 10 Clocking and PLL User Guide

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

SigmaRAM Echo Clocks

Intel MAX 10 Clocking and PLL User Guide

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Today. Last Time. Motivation. CAN Bus. More about CAN. What is CAN?

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

ANTC205. Introduction

Reconfigurable PLL for Digital System

INTERNATIONAL TELECOMMUNICATION UNION

Transceiver Architecture in Arria V Devices

Set Up a PLL Loop Filter on the ez80f91 MCU

Intel Stratix 10 Clocking and PLL User Guide

Introduction to Actel FPGA Architecture

Cluster-based approach eases clock tree synthesis

Nanometer technologies enable higher-frequency designs

High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Smart Sensors and Network Sensor Systems

The Different Types of UPS Systems

Computer Hardware Requirements for Real-Time Applications

JitKit. Operator's Manual

VCXO. Contact Sales. North America T: +1 (800) Asia T: All Other Regions T: +1 (508)

8. Selectable I/O Standards in Arria GX Devices

The Programmable Mixed Signal Company

ABSTRACT BACKGROUND PSIG 05B3

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments

Frequency and Voltage Scaling Design. Ruixing Yang

Isolated Voltage Input 3B30 / 3B31 FEATURES APPLICATIONS PRODUCT OVERVIEW FUNCTIONAL BLOCK DIAGRAM

8. Migrating Stratix II Device Resources to HardCopy II Devices

Signature Core Fiber Optic Cabling System

A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches

PDH Switches. Switching Technology S PDH switches

PART. *EP = Exposed pad. LVDS IN+ IN- PCB OR TWISTED PAIR. Maxim Integrated Products 1

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

DESIGNER S NOTEBOOK Proximity Detection and Link Budget By Tom Dunn July 2011

10 Gbit/s Transmitter MUX with Re-timing GD16585/GD16589 (FEC)

[Indu*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

THE phase-locked loop (PLL) is a widely used circuit for

AMS 5812 OEM pressure sensor with an analog and digital output

CS250 VLSI Systems Design Lecture 9: Memory

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

B.H.GARDI COLLEGE OF ENGINEERING & TECHNOLOGY (MCA Dept.) Parallel Database Database Management System - 2

RK3128 Technical Reference Manual Rev 1.0. Chapter 23 LVDS Overview Features

Advances in Storage Connectivity ATTO Ultra320 SCSI The Next Generation A Technical Brief

Transcription:

Selecting PLLs for ASIC Applications Requires Tradeoffs John G. Maneatis, Ph.., President, True Circuits, Inc. Los Altos, California October 7, 2004 Phase-Locked Loops (PLLs) are commonly used to perform a variety of clock processing tasks, such as clock frequency multiplication and clock deskewing. PLLs, like many other analog IP macros, come with many features and specifications. Selecting the correct PLL early in the design can help the design team make tradeoffs when they are less costly, improve the integration quality of the PLL, and avoid surprises close to tapeout. A typical PLL application is shown in Figure 1. In this application, a PLL is used to align the setup and hold time window for chip input latches to the input clock edge which is at half the frequency. More specifically, the PLL is used to multiply the clock frequency by two and then align the edges of the distributed output clock to those of the received clock. The PLL accomplishes this task by adjusting the frequency of a voltage-controlled oscillator (VCO) which drives the output clock so that the distributed clock, once divided in half and fed back to the PLL, matches frequency and lines up with the received clock. This simple application requires certain features in the PLL, like the ability to accept a feedback clock and the ability to multiply the input frequency. Figure 1: PLL application for deskewing a clock distribution network. CK REF CK FB N/2 Phase etect U Charge Pump C 1 R 1 C 2 V CNTL VCO Chip 1 CK IN Chip 2 CK REF OUT IN Q CK FB PLL IN OUT Q Given the large application base for PLLs, there are many possible features that might be needed in general. Like all features, they typically are accompanied by tradeoffs of one sort or another. Table 1 lists typical PLL features for digital applications and the advantages and disadvantages of each. They are categorized by features affecting the loop dynamics, circuit structures, loop configuration, output configuration, and counter configuration. Many of the tradeoffs relate the PLL loop dynamics and they affect the output jitter. Table 1: Tradeoffs between PLL features. Loop ynamics Advantages isadvantages High bandwidth Low tracking jitter Needed for deskew PLLs No long-term or period jitter filtering More difficult to avoid pattern jitter ifficult to implement frequency spreading Higher frequency overshoot Low bandwidth Low period jitter Period jitter filtering Low frequency overshoot Poor long-term jitter (without high-q VCO) No long-term jitter filtering (without high-q VCO)

Loop ynamics Advantages isadvantages Tracking bandwidth (i.e. selfbiased PLLs) Wide operating frequency range Wide multiplication range Constant loop dynamics Maximizes tracking bandwidth Minimizes PVT sensitivity Constrained analog circuits Circuit Structure Advantages isadvantages Low-Q VCO (ring/relaxation oscillators) High-Q VCO (LC/Xtal oscillator) High supply/substrate noise rejection Low power Wide VCO frequency range Can have low period jitter Most common approach for digital chips Low long-term and period jitter Long-term and period jitter filtering Low phase noise Required for good jitter performance Reduces power requirements High phase noise Not suitable for SONET transmit clocks Narrow VCO frequency range Requires a lot of characterization Large area Chip may be doomed without it Increases jitter levels Loop Configuration Advantages isadvantages Clock multiplication Input frequency flexibility Can exhibit pattern jitter eskewing Control chip input setup/hold windows Limited practical multiplication (tracking jitter) Frequency spreading Can help reduce EMI emissions Typically requires low bandwidth Fast locking Reduced lock time (important for low power, low bandwidth, and clock recovery applications) Possible frequency overshoot or instability Clock recovery Used for data communication applications Typically have false lock problems May need frequency acquisition aids Output Configuration Advantages isadvantages ivided phase aligned outputs (i.e. /1, /2, /4 outputs) Facilitates applications like R/QR Require matched clock distributions Multiple output phases and adjustable output phases Useful for clock recovery applications Best place to generate them is in PLL Can achieve highest accuracy without calibration Increases PLL power dissipation Potential pattern jitter issues Counter Configuration Advantages isadvantages Built-in counters Avoids frequency headroom Limits flexibility problems Can minimize insertion delay for lower jitter Counter resetting Facilitates testing Slows down counters Reduces reset time ynamic counter value changes Can implement software frequency adjustments More complicated synchronous counter interface Lock detector Cycle-slip detector Testing circuitry Signals when PLL is locked Can reduce lock time Signals if the PLL is unlocked Increases fault coverage in digital circuitry Enables vector testing without locking PLL Enables trouble-shoot analog circuitry Can be unreliable (error threshold is double constrained, bandwidth constrained) Can increase lock time Cannot directly use as lock detector Must take care not to increase jitter (excessive MUXing, exposing analog voltages) 2

Tradeoffs between PLL Bandwidth and Output Jitter Output jitter refers to the time-varying offset in the output clock edges. Jitter can have disastrous effects on internal timing paths by causing setup-time violations and on off-chip interfaces by causing setup and hold-time violations which lead to data transmission errors. Output jitter is commonly caused by jitter on the reference clock or by sensitivity in the VCO and other circuits to noise sources both inside the PLL block, such as thermal noise and flicker noise, and outside the PLL block, such as supply and substrate noise. While all of these noise sources are commonplace and unavoidable, supply and substrate noise will typically dominate in the harsh mixed-signal environment of today's ASICs for many applications. There are several ways of measuring jitter. Jitter can be measured as variations in the clock period, commonly referred to as period jitter. Period jitter is important as it subtracts from the available setup time in logic paths. Jitter can also be measured as variations in the accumulated width of many clock periods, called long-term jitter. Long-term jitter is important in communication applications where other PLLs might have to directly or indirectly (through a serial data stream) track the output clock of a given PLL. In addition, jitter can be measured as the variation in time relationship between the edges of the reference clock and the output clock, called tracking jitter. Tracking jitter is important in clock deskewing applications like memory interfaces. In order to understand the tradeoffs between the PLL loop dynamics and output jitter, it is important to understand how jitter is created and filtered inside PLLs. The sensitivity of the VCO to noise sources will lead to time variations in the VCO output periods. These variations will accumulate as time offsets in the generated clock edges over time. The negative feedback of the PLL will track out accumulated jitter over periods longer than the response time of the PLL, measured as its loop bandwidth. Under worst-case noise conditions, the time variations in each VCO output period can be correlated with successive output periods so that the jitter accumulates at a constant rate over time. With the accumulation time limited by the loop bandwidth, long-term jitter measured over periods longer than the response rate of the PLL is generally inversely proportional to the loop bandwidth. The amount of long-term jitter that will result depends on the sensitivity of the VCO to noise. VCOs based on LC oscillators typically have high quality factor (Q), which gives them inherent stability that can substantially reduces their sensitivity to noise sources. Alternatively, VCOs based on RC oscillators, such as relaxation or ring oscillators, have low-q, and thus are very sensitive to noise. The best such low-q VCO circuits can do is employ isolation techniques to minimize their sensitivity to noise sources, but the sensitivities will be fundamentally higher than for high-q oscillators. Thus, while high-q VCOs can achieve low long-term jitter even with a low loop bandwidth, low-q VCOs can only obtain low long-term jitter by maximizing the loop bandwidth and tracking the input frequency as close as possible. Since PLLs only track the reference clock at a rate limited by their bandwidth, they can be used to filter out shorter-term jitter, such as variations in the reference clock period (period jitter), but will let longer-term jitter (jitter measured over many reference cycles) pass through. Since high-q VCOs have low jitter accumulation, a low bandwidth can be used to filter out long-term jitter over large numbers of reference cycles. With low-q VCOs, using a low bandwidth to filter out longterm jitter is a losing proposition, unless most of the jitter accumulates over a small number of reference cycles. The aforementioned issues suggest that high-q VCOs should be used in all PLLs. However, high-q VCOs have limited frequency range and typically require laboratory characterization of silicon to determine the center frequency. They also tend to require significantly more chip area. Because of these limitations, PLLs with low-q VCOs are the predominant design type used on ASICs because they have much larger tuning ranges, can be implemented with less area, and are generally more flexible. Clearly, there are independent advantages and disadvantages to 3

each type of VCO. However, it is important to note that it is not possible to achieve stringent phase noise requirements of many communications systems with RC oscillators. Thus in summary, applications that require very low long-term jitter should be based on high-q VCOs. Applications that only require very low period jitter can simply use medium to low bandwidth PLLs based on a low-q VCOs. Applications that require low tracking jitter should use a high bandwidth PLL based on low-q VCOs. Other tradeoffs between loop bandwidth and jitter relate to the interconnection of PLLs. It is very common to have one PLL drive another. Ideally the second PLL should have a higher or lower bandwidth than the first. Since PLLs tend to slightly amplify input jitter at the loop bandwidth, cascading multiple PLLs at the same bandwidth will lead to more significant jitter amplification. In some SERES interfaces, a high-frequency PLL might be used to generate the transmit clocks that require very low long-term jitter. Unless the PLL contains a high-q VCO, it will simply pass the long-term jitter problem to its input reference clock. If another PLL is used to generate the mid-frequency reference for the output PLL, the long-term jitter of the final output clock will be fundamentally limited to some fraction of the reference clock period of the first PLL, independent of how many PLLs are used to multiply up that reference frequency. Tradeoffs with Other PLL Features Aside from loop bandwidth settings, other PLL features such as clock multiplication, clock deskewing, and frequency spreading can have significant tradeoffs inside the PLL, all of which, once again, are linked to output jitter. Clock multiplication is a commonly desired feature in order to minimize the input clock frequency requirements and provide flexibility for on-chip clock frequencies. PLLs that perform clock multiplication are susceptible to a form of period jitter called pattern jitter. Pattern jitter results from the noise generated by the phase comparisons every PLL reference cycle and appears as pattern of output period jitter that repeats every reference cycle. Pattern jitter can be difficult to filter in a PLL that operates at a high bandwidth without causing instability. PLLs that perform deskew function must be able to tolerate some amount of delay in the feedback path, typically composed of clock distribution networks or, in extreme cases, off-chip paths. In order to tolerate more feedback delay, a PLL must operate at a lower bandwidth, which will in turn increase the resultant tracking jitter. Also, as a PLL approaches a locked state, it will typically overshoot the target output frequency by some amount that increases with its loop bandwidth. The feedback path must be able to pass the maximum overshoot frequency without losing any edges or the VCO will become stuck at the maximum frequency and the PLL will fail to lock. This issue is most significant when the feedback path includes an off-chip path. Once again, reducing the amount of overshoot requires a reduction in the PLL bandwidth, leading to more tracking jitter. PLLs performing frequency spreading functions can operate at either a low bandwidth or a high bandwidth, depending on the rate of frequency spreading. When operating at a high bandwidth, the PLL attempts to track the input clocks through a continuously adjusted feedback divider, leading to the spreading frequency characteristics. Operating at a high bandwidth is only possible if the adjustments to the feedback divider lead to smooth frequency changes rather than stair-step changes which are typically undesirable. When operating at a low bandwidth, the frequency spreading is obtained by modulating the VCO control directly, but at a rate much higher than the loop bandwidth so the PLL does not attempt to track out the modulation. If a low bandwidth is used, the PLL will not be able to achieve low long-term jitter as previously discussed. 4

PLL Applications Given the tradeoffs between different PLL features, the features ultimately incorporated into the PLL will be set by the PLL application. Table 2 lists different digital applications for PLLs and their associated requirements and desired features. The applications can be categorized into a few classes: clock generation applications requiring low period jitter, clock deskew applications for synchronous interfaces, generating transmit and receive clocks for data communication, and generating frequencies that require high fractional precision. Table 2: Feature requirements of various PLL applications. Application Requirements Features Logic core clocks ouble-data rate (R) interfaces Source-synchronous interfaces Synchronous interfaces (chips receiving data aligned with clock) SERES (SPI4, etc.) transmit path High-speed SERES (SONET OC192, 10G Ethernet) transmit path SERES receive path (clock and data recovery) riving PLLs in SERES cores isk drive clock recovery Video clock generation Minimum period jitter May need frequency spreading Clock distribution deskewing Low tracking jitter Very low long-term jitter Reject inter-symbol interference Ignore missing transitions Track data stream May need low long-term jitter (if core does not use an high-q VCO) Reject inter-symbol interference Ignore missing transitions Lock quickly to data stream Low period jitter Wide multiplication range for high fractional precision Medium to low bandwidth May need phase aligned divided clocks External feedback path Medium bandwidth with high-q VCO Medium bandwidth with high-q VCO Medium bandwidth May need multiple output phases Medium bandwidth Fast locking High tracking bandwidth Pattern jitter rejection Most data communication applications have tight jitter specifications on the transmitted and received data. These specifications make it possible to design the transmitter independent of the receiver and to address various physical effects on the channel. These jitter specifications must be considered carefully in choosing the best PLL for the application. When selecting PLLs for ASIC applications it is important to understand the tradeoffs between different PLL features. Many of these features tradeoff directly with the PLL s jitter performance. The PLL loop bandwidth and the type of VCO are the biggest factors impacting output jitter. The best PLLs for a given application are PLLs that most flexibly address the design tradeoffs and avoid introducing tradeoffs not fundamental to the phase locking problem. 5