LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands

Similar documents
Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Dynamic Performance of DUV Step & Scan Systems and Process Latitude

Optimization of Photolithography Process Using Simulation

Strengthening the leadership

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Photoresist Qualification using Scatterometry CD

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Advanced Simulation Techniques for Thick Photoresist Lithography

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Using the Normalized Image Log-Slope, part 5: Development

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

Materials for and performance of multilayer lithography schemes

Stochastics and the Phenomenon of Line-Edge Roughness

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer

METHOD FOR DETERMINING WAFER FLATNESS USING THE MOVING AVERAGE QUALIFICATION METRIC BASED ON SCANNING LITHOGRAPHY

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr.

Industrial Example I Semiconductor Manufacturing Photolithography Can you tell me anything about this data!

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

Photoresist Modulation Curves

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING

Practical approach to full-field wavefront aberration measurement using phase wheel targets

Low k 1 Logic Design using Gridded Design Rules

Outline. Abstract. Modeling Approach

Process Transfer Strategies between ASML Immersion Scanners

Enhanced Lumped Parameter Model for Photolithography

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Investigation of interactions between metrology and lithography with a CD SEM simulator

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech

Manufacturing Challenges and their Implications on Design

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Optical Topography Measurement of Patterned Wafers

Coping with Variability in Semiconductor Manufacturing

Computational Lithography Turning Physics into Yield

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing

The Death of the Aerial Image

Redefining Critical in Critical Dimension Metrology

Lithography Simulation

2013 International Workshop on EUV Lithography Hanyang University

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

On the quality of measured optical aberration coefficients using phase wheel monitor

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Optical Lithography Modelling with MATLAB

OPC flare and optical modeling requirements for EUV

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Doug Schramm a, Dale Bowles a, Martin Mastovich b, Paul C. Knutrud b, Anastasia Tyurina b ABSTRACT 1. INTRODUCTION

CSPLAT for Photolithography Simulation

In-situ metrology for pad surface monitoring in CMP

Mu lt i s p e c t r a l

Pushing 193i lithography by Joint optimization of Layout and Lithography

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency

MLI INTRODUCTION GUIDE. copyright reserved 2012 MLI

EUV Lithography and Overlay Control

Polymer Micro-Optics for Today s Compact Photonic Devices

Nishtha Bhatia Washington High School July 31 st, 2014

Overlay control methodology comparison: field-by-field and high-order methods

Photoresist Thin Film Effects on Alignment Process Capability

Practical BEAMER Applications for the Heidelberg DWL 66 +

3D Holographic Lithography

ABM's High Resolution Mask Aligner Features:

EUV telecentricity and shadowing errors impact on process margins

Title: Heidelberg DWL66+ Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 05/03/2017

A New Fast Resist Model: the Gaussian LPM

Defect Repair for EUVL Mask Blanks

Near-Field Recording Technologies

Optimization of one- and two dimensional masks in the optical lithography

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY

High Throughput Maskless Lithography

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

First Operational Experience from the LHCb Silicon Tracker

Bringing Patterned Media to Production with Value Added Metrology

FlexAirConnecT Dust Insensitive Multi-Fiber Connector with Low Loss and Low Mating Force

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling

Applications for Mapper technology Bert Jan Kampherbeek

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography.

Inspection of imprint templates Sematech Lithography Workshop May, 2008

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Deterministic microlens diffuser for Lambertian scatter

Hybrid hotspot detection using regression model and lithography simulation

Article 3D Topography Mask Aligner

Applications of DSA for lithography

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

Reflectivity Control at Substrate / Photoresist Interface by Inorganic Bottom Anti-Reflection Coating for Nanometerscaled

TMT Conference 2011 Bank of America

Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis

EUV. Frits van Hout Executive Vice President & Chief Program Officer. 24 November 2014

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection

DENTAL WEAR SURFACE USING 3D PROFILOMETRY

Quality Control Test Equipment for Photoreceptors, Charge Rollers and Magnetic Rollers

Introduction to Diffraction Gratings

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Impact of mask line roughness in EUV lithography

Transcription:

LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands This paper was presented at the SPIE microlithography symposium Santa Clara, February 1998

LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk ASM Lithography B.V., De Run 1110, NL-5503 LA Veldhoven, the Netherlands Abstract Commercially available photoresists from the major photoresist vendors are investigated using a PAS 5500/300 wafer stepper, a 31.1 mm diameter field size high throughput wafer stepper with variable NA capability up to 0.63. The critical dimension (CD) investigated is 0.25 µm and lower for dense and isolated lines and 0.25 µm for dense contact holes. The photoresist process performance is quantified by measuring exposure-defocus (ED) windows for a specific resolution using a CD SEM. Photoresists that are comparable with or better than APEX-E with RTC top coat, which is the current base line process for lines and spaces imaging performance, are Clariant AZ-DX1300 and Shin Etsu SEPR-4103PB50. Most recent photoresists have much improved delay performance when compared to APEX without top coat. Improvement, when an organic BARC is applied, depends on the actual photoresist characteristics. The optimal photoresist found for 0.25 µm contact holes is TOK DP015 C. This process operates at optimal conditions. 1. Introduction In this paper, not only are the characteristics of DUV photoresists determined but also the different requirements that a stepper manufacturer has with respect to photoresist processes are discussed. DUV photoresists are evaluated for their imaging performance on DUV (248 nm) wafer steppers and scanners; that is, the ASML PAS 5500/300 stepper and the PAS 5500/500 step and scan system. The PAS 5500/300 is a wafer stepper with a 31.1 mm diameter field, automatically variable NA (up to 0.63) and coherence (up to 0.85) and the possibility for both conventional and annular illumination without loss of intensity. The PAS 5500/500 is a step and scan system with a 26 x 33 mm field and the same illumination characteristics as the PAS 5500/300. All experimental work was performed on a PAS 5500/300 but newly selected photoresists should also be capable of being used for scanner technology. This paper discusses first the requirements for a DUV photoresist process followed by the experimental set up and the experimental results for lines and spaces and contact holes. Further author information E-mail: bob.streefkerk@asml.nl, telephone: +31 40 2303903, fax +31 40 2303243 2. DUV photoresist requirements For stepper suppliers, the use of photoresist processes differs from the typical use in a fab. One of the most demanding requirements for a process within ASML is the use for analysis of system performance at the specified resolution. The lens performance test, internally referred to as lens qualification, is done on standalone exposure tools and wafers must be transported back and forth from the track; the exposure tools and wafer tracks are not interfaced. The wafers undergo delays before and after exposure of up to half an hour and are exposed to the unfiltered environment. The substrates for the photoresists are polished silicon wafers, without inorganic or organic antireflective coatings, are ultraflat and, thus, without topography. It is desirable to use a simple process and, therefore, a BARC is not used. Generally, these ultraflat silicon wafers are re-used for subsequent lens qualifications. A typical PAS 5500/300 lens qualification is performed with dense (1:1) lines and spaces for imaging testing. Photoresist vendors tend to develop dedicated photoresists for use on either inorganic or organic BARCs or bare silicon [1], either for dense or isolated structures, for lines and spaces or contact holes. In this paper, only typical dense photoresists are investigated. 1

Because of the distance between the exposure tool to be qualified and the wafer track where the wafer is processed, the delay effects play a very big role; the contamination levels are a critical concern to ASML (see Figure 1). Thus, the photoresist should not be significantly sensitive to delay or contamination. Although the exposure tool and the wafer track are charcoal filtered, the (un)exposed wafer can be exposed to the environment during transport. concentration in ppbv 90 80 70 60 50 40 30 20 10 0 Figure 1 ammonia concentration in ppbv at ASML Veldhoven 10:45:50 17:55:50 01:05:50 08:15:50 15:25:50 22:35:50 05:45:51 12:55:52 20:05:52 03:15:52 10:25:52 17:35:53 00:45:53 07:55:54 15:05:54 22:15:54 05:25:54 12:35:54 time in hours An example of ammonia concentration in the Netherlands as measured by an ETG meter The depth of focus (DOF) and the CD uniformity over the image field are usually measured during lens qualification. Because it is desirable to test only the lens component of the CD uniformity budget, the influence of the photoresist process should be at a minimum. Therefore, the CD change, due to contamination effects and post exposure bake (PEB) variations should be as low as possible. For optimal CD uniformity, it is also important to use the photoresist process at its optimal condition, at isofocal position. The target CD and the photoresist CD with the highest depth of focus are, normally, different; this isofocal bias can be as high as 50 nm at wafer level. The photoresist process should have a low isofocal bias to have a small difference between the target and the photoresist isofocal CD. Another requirement is the photospeed of the photoresist. A step and scan system needs to be tested at full performance for lines and spaces. Hence, the photoresist should have an exposure dose, at the resolution limit, of less than or equal to 17 mj/cm 2. I-11531.ILL For future requirements and optimal flexibility of use, the photoresist should be compatible with and give acceptable results on a BARC. Similarly, a good performance on both dense and isolated lines is, preferably, required. Finally, the photoresist to be selected should have at least the imaging performance of the process already in use at ASML; that is, APEX-E with a protective top coat. Imaging performance is characterized by depth of focus and exposure latitude. The requirements for this very versatile lines and spaces photoresist are summarized below: - Resolution photospeed: exposure dose < 17 mj/cm 2 for 0.25, 0.225 and 0.175 µm lines and spaces, - Process sensitivity: CD sensitivity to PEB < 5 nm/ C, - Isofocal bias for dense lines < 10% of the nominal CD, - Depth of focus (DOF) @ 10% exposure latitude (EL) comparable to APEX, - Contamination sensitivity without RTC: < 5 nm/half hour in 20 ppb NH 3 environment, - Iso-dense bias < 20 nm, - BARC compatible (future requirements) but also compatible with highly reflective substrates, - Acceptable behavior for both dense and isolated lines. The contact holes photoresist has only the requirements of DOF and contamination sensitivity. 3. Experiments 3.1. Experimental set up The exposure tool used was a PAS 5500/300 wafer stepper. For 0.25 and 0.225 µm lines and spaces, an NA of 0.57 and a σ of 0.75 was used, while, for 0.175 µm lines and spaces, an NA of 0.63 and an annular condition of σ = 0.75/045 was used. For contact hole testing, the NA was 0.5 and σ was 0.85/0.55. For photoresist processing, a FSI Polaris 2000 process cluster (proximity bake, sealed HMDS modules) was used. Both the stepper and the process cluster were filtered by Donaldson active charcoal filters. The stepper and process cluster were not interfaced. 2

Coat thickness and uniformity were measured on a Tencor UV1050. For lines and spaces, a coat thickness was chosen at the maximal incoupling condition for reflectivity (E min ). For contact holes, both minimal and maximal incouplings were examined. An aspect ratio between thickness and CD of between 2.5 and 3.5 was selected. The environmental ammonia level was measured by a real time ETG monitor. Process conditions for four of the photoresists and the BARC are shown in Table 1. Table 1 APEX-E2408 (+ RTC) SEPR-4103 PB50 Process conditions for lines and spaces Film thickness 0.78 (+ 0.206) Soft bake ( C, s) PEB ( C, s) 95, 110 90, 90 0.70 105, 90 115, 60 AZ-DX1300 0.74 90,90 105, 60 TOK DP015 0.718/0.678 80, 90 110, 90 DUV 18-L 0.070 100, 30 180, 60 Developer 0.21N (60s) MF702 0.262N (60s) OCG OPD262 0.262N (60s) OCG OPD262 0.262N (60s) OCG OPD262 For the CD measurement of 0.25 and 0.225 µm lines and spaces, an automatic top down OPAL 7830I CD SEM was used. For smaller linewidths, for contact holes and for profile testing, a low voltage tiltable Hitachi S7800 CD SEM or a Philips XL50 was used. For further analysis of the CD data, the software package ED Forest 1.14 (Linnovation Inc.) for lines and spaces was used; the process windows (and, thus, the calculated DOFs) were centered around the nominal CD. For analyzing the contact holes, Monolith (4.0 Shipley Co. Int.) was used; the energy was selected at which the CD at best focus was 0.275 µm, such that the full curve fitted in + 10% of the nominal CD. The process windows constructed with both software packages are based on a tolerated CD variation of + 10%. shown in figure 3. In both cases, only one module in the center of the reticle was measured, which is, thus, also the center of the lens field. 3 7 2 Figure 2 Figure 3 Reticle 8 0 6 4 5 1 Imagin reticle module Reticle contact hole module (SEM photograph) 3.2. Lines and spaces 0.25 Ten photoresists were tested in both t-boc (Shipley APEX-E), ESCAP (Shipley UV3 and UV6) and acetal (OLIN ARCH-200, TOK P007, 015 and 024, Shin Etsu SEPR 4103 PB50, Clariant AZ-DX1300 and Sumitomo PEK 101A6) families. Because the results of Shin Etsu and Clariant are most comparable with those of APEX-E, these photoresists are further discussed. Other photoresists are referred to as Photoresist 1, Photoresist 2 and so on. The calculated DOFs at 10% EL for dense lines and ODOFs (overlapping depth of focus) for dense and isolated 0.25 µm lines, for all photoresists, are shown in Figure 4 (for the full data set, see Table 2). H Module V I-11532.ILL The reticle, used for lines and spaces, was an ASML HV Imagin reticle that contains both fully isolated and dense features as indicated in figure 2. The reticle, used for contact hole testing, was a short step contact reticle of which the dense modules are typically as 3

DOF Figure 4 The isofocal CDs obtained for 0.25 µm dense lines are shown in Figure 5 for each photoresist. CD 1.6 1.4 1.2 1.0 0.8 0.6 0.4 0.2 0.0 0.32 0.30 0.28 0.26 0.24 0.22 0.20 Figure 5 APEX-E APEX-E SEPR-4103PB50 DOF@10%EL 0.25 µm lines AZ-DX1300 Photoresist 1 DOF at 10% EL for 0.25 µm lines SEPR-4103PB50 Isofocal positions DOF dense lines ODOF dense & isolated lines Photoresist 2 It can be seen that, with the same target CD, the isofocal position for each process is different: it can be above or below the target CD of 0.25 µm. For maximum process stability, it is desirable to maintain the photoresist process close to the isofocal condition. Therefore, ASML allows the nominal CD to be biased by a maximum of 10% during lens qualification, thus allowing a nominal CD between 0.225 and 0.275 µm. For semiconductor manufacturing, the CD change due to etching is also very important when interpreting the CD bias; therefore, in practice, it may be more Photoresist 3 Photoresist 4 Photoresist 5 isofocal position per resist AZ-DX1300 Photoresist 1 Photoresist 2 Photoresist 3 Photoresist 4 Photoresist 5 Photoresist 6 Photoresist 6 Photoresist 7 I-11535.ILL Photoresist 7 I-11536.ILL appropriate to bias the reticle CD [5], [7]. The performance of Shin Etsu SEPR 4103 PB50 and Clariant AZ-DX1300 are comparable to the DOF performance of APEX; for the lens qualification of the PAS 5500/300 (0.25 µm resolution) the DOF requirement is dominant. The isofocal bias is, however, rather on the high side for both photoresists and will be further examined. The measured DOFs at 10% EL for dense lines and ODOFs for dense and isolated 0.225 µm lines, for all photoresists, are shown in Figure 6 (see also Table 3). DOF 1.4 1.2 1.0 0.8 0.6 0.4 0.2 0.0 Figure 6 APEX-E DOF@10%EL 0.225 µm lines SEPR-4103PB50 AZ-DX1300 DOF dense lines ODOF dense & isolated lines DOF at 10% EL for 0.225 µm lines The trends are consistent with those of 0.25 µm lines and spaces. As the resolved CD decreases, a shake out of photoresists occurs. The PAS 5500/500 system imaging qualification is performed at this resolution; in this case, not only is the isofocal CD a dominant requirement but also the exposure latitude (EL). These are relatively high for these photoresists (16% for Shin Etsu and 13% for Clariant at a DOF of 0.8 µm). For 0.175 µm screening, the Hitachi S-7800, in manual mode, was used for measurements. Data on isolated lines behavior for 0.175 µm was not collected. Overlap of process window between dense and isolated structures is reported to be very small [2], [5]. Optical proximity correction (OPC) of the reticle is required for 0.175 µm imaging. Photoresist 3 Photoresist 4 Photoresist 6 Photoresist 7 I-11537.ILL 4

The results for 0.175 µm lines, for all photoresists, are shown in Figure 7 (see also Table 4). resolution of 0.18 µm, a DOF greater than 1.1 µm can be achieved with APEX-E [4]. This questions the APEX-E data in this experiment. DOF 1.2 1 0.8 0.6 0.4 maximal DOF 0.175 µm dense lines DOF dense lines I-11538.ILL The isofocal CD varies significantly per photoresist: analogous to the 0.25 µm case for this resolution the isofocal bias varies by up to 14%. The same trend in positive or negative isofocal offset can be observed, as with 0.25 µm lines; it is not likely to be caused by a linearity issue. 0.2 0 APEX-E SEPR-4103PB50 AZ-DX1300 Photoresist 3 Photoresist 4 Photoresist 6 Photoresist 7 CD 0.20 0.19 0.18 0.17 0.16 0.15 isofocal position per resist I-11539.ILL Figure 7 Maximal DOF for 0.175 µm dense lines In this 0.175 µm region, Clariant AZ DX1300 performs very well compared to other photoresists. Its resolution capability has been proven in literature [3]. SEM photographs of dense line performance are shown in Figure 8. The optical proximity effect can clearly be seen in these photographs. OPC is required. In contrast to these experiments, it has been found, with a PAS 5500/500 step and scan system, that, at a 0.14 Figure 9 APEX-E SEPR-4103PB50 AZ-DX1300 Photoresist 3 Photoresist 4 Photoresist 6 Isofocal positions per photoresist Photoresist 7 Limiting positions (5 bar) f = 0.2 µm best focus f = + 0.2 µm Limiting positions (3 bars left) Figure 8 f = 0.6 µm best focus f = + 0.6 µm Clariant DX1300 0.175 µm dense lines performance (NA = 0.63, σ = 0.75/0.45, FT = 0.7 µm) 5

Delay time effects were investigated by exposing the wafers to the clean room air of which the average ammonia level was around 15-20 ppb during the experiments. Delay time effects can be caused by intrinsic acid diffusion of the exposed photoresist and also by the neutralization of acid by environmental base gas. The delays between coat and expose (CED) and between expose and PEB (PED) were investigated for 0.25 µm dense lines. This led to the results of Figure 10 (see also Table 5). DOF@10%EL 1.6 1.4 1.2 1.0 0.8 0.6 0.4 0.2 0.0-0.2-0.4 Figure 10 APEX-E effect of process delays on DOF performance SEPR-4103PB50 AZ-DX1300 reference DOF decrease by CED delay decrease by PED delay Effect of process delays on DOF performance Although APEX-E itself is very sensitive to delay effects, the use of the protective top coat layer showed no significant delay effects. Photoresists 1 and 2 are meant for use on antireflective substrates and the combination of standing waves and contamination effects led to severe T-topping [6] ; the results for these are, therefore, not found in the graph above. Most other photoresists are far less sensitive to delays than APEX-E without RTC. Only Shin Etsu CED delay needs more investigation because a significant effect was seen. Photoresist 4 Photoresist 6 Photoresist 7 I-11540.ILL DOF 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0.0 Figure 11 ODOF@10%EL dense & isolated lines BARC influence on DUV 18L on silicon APEX DX1300 Photoresist 6 ODOF @ 10% EL dense and isolated lines BARC influence The improvement from a BARC process on the overlapping DOF @ 10% EL depends very much on the actual photoresist. Where it is detrimental for APEX-E and of almost no influence for AZ-DX1300, it is required for Photoresist 6 because this photoresist is especially developed for use on a BARC. 3.3. CONTACT HOLE TESTING Seven photoresists were tested in both t-boc (Shipley APEX-E), ESCAP (Shipley UV3 and UV6) and acetal (TOK P007 and P015, Clariant AZ DX1200, JSR KRF TRA 694 and Sumitomo PEK 405) families. TOK DP015 is further detailed because this photoresist is the preferred photoresist within ASML. Other photoresists are referred to as photoresist 1, photoresist 2 and so on. Both the maximal and minimal incoupling conditions are tested. I-11541.ILL For a subset of photoresists, it is also investigated what the influence is of an organic bottom antireflective coating (BARC). In this case, Brewer Science DUV18L was used. The results are shown in Figure 11 6

The results for 0.25 µm dense contact holes were as shown in Figure 12 (see also Table 6). DOF 1.8 1.6 1.4 1.2 1.0 0.8 0.6 0.4 0.2 0.0 Figure 12 maximal DOF 0.25µm contact holes APEX-E2408 TOK DP015C Photoresist 8 Photoresist 1 Maximal DOF 0.25 µm contact holes It can be seen that, generally, the maximal incoupling condition (E min, based on reflectivity) results in better DOF. TOK DP015C was found to perform best on silicon substrates. The other candidate (Photoresist 8) with a similarly high DOF showed side lobing caused by standing waves. At these conditions, for TOK DP015, a total exposure-defocus window was determined, see also Figure 13. Photoresist 2 Photoresist 4 Photoresist 9 Emin Emax Photoresist 10 I-11542.ILL The top down images can be found in Figure 15. The irregular shape of the contact hole visible on the reticle in Figure 3 is not printed at wafer level, as seen in Figure 15; these are circular as a result of the filtering of the image system. The process sensitivity of TOK DP015 has been investigated with respect to changes in soft bake temperature, PEB temperature and time and developer time. The results are given in the Figure 14. The middle setting of each parameter is the setting advised by the vendor. For each setting of a parameter, three measurements are supplied and are shown in the graph as open boxes. The mean of each setting is indicated. The DOF is used as the measure. DOF 1.20 1.15 1.10 1.05 1.00 0.95 0.90 Figure 14 process sensitivity of TOK DP015 75 80 85 soft bake 100 110 120 PEB temp 80 90 100 PEB time experiment group average trend Process sensitivity of TOK DP015 I-11552.ILL 50 65 80 developer critical dimension (nm) 400 380 360 340 320 300 280 260 240 220 200 37 39 41 0.25 µm contact holes TOK DP 015C@0.68 µm 43 45 47 49 51 53 55 57 59 61 63 180-1.3-0.9-0.5-0.1 0.3 0.7 1.1 1.5 defocus Figure 13 ED window for TOK DP015 0.25 µm contact holes I-11543.ILL Only the PEB temperature gives a significant effect, which is also to be expected for a DUV photoresist. Within the uniformity of the bake plate (± 0.3 C) no severe DOF decrease is to be expected. The process is, thus, robust 4. Conclusions In this paper, the performance of photoresists is examined for use, within ASML, for the lens qualification of PAS 5500/300 and PAS 5500/500 systems on bare silicon wafers. For commercially available photoresists, the performance of Clariant AZ-DX1300 and Shin Etsu SEPR 4103 PB50 is comparable to or better than APEX-E: for 0.25 µm dense lines and spaces; the DOF @ 10% EL is respectively 1.2, 1.3 and 1.1 µm. For 0.225 µm, the DOF is 1.1, 1.2 and 0.8 µm respectively. For 0.175 µm, this is 0.7, 1.1 and 0.6 µm. To achieve 7

Figure 15 f = + 0.9 µm f = + 0.8 µm f = + 0. µm f = 0.8 µm f = 0.9 µm Top down SEM photographs of TOK DP015 0.25 µm contact holes with annular illumination this latter resolution for all pitches, OPC may be required. The isofocal bias of a photoresist differs by up to 24% from the target CD. Delay effects are generally much less than for APEX-E without top coat; only (effects of) 15% change in DOF are observed for some photoresists with 0.5 hour delay in an unfiltered environment. The use of a BARC on a bare silicon substrate does not always improve the DOF; this depends very much on the photoresist under consideration. For some photoresists, an antireflective coat is required. For dense 0.25 µm contact holes, best performance on bare silicon was found with TOK DP015; a maximal DOF of 1.6 µm was found, compared to 0.8 µm for APEX. Acknowledgements The authors would like to thank Jenny Swinkels, Yin Fong Choi, Mariette Hoogendijk, Frank Duray, Ted der Kinderen and Raymond Maas for their SEM support, Marty Vermeulen for his assistance with the process cluster and exposures. Paul Luehrmann, Jan-Willem Martens, Paul van Attekum, Donis Flagello and Jelle van der Voort for help in improving this paper by critical reviews. References [1] Koen van Ingen Schenau and Jan-Pieter Kuijten, investigation of key components to intrafield CD variation for sub-quarter micron lithography, OLIN interface, pp 41-45, October 1997 [2] Kafai Lai et al, The role of resist chemistry in extending 248 nm lithography below 0.25 micron, Olin Interface Proceedings, pp. 67-83, 1997 [3] Georg Pawlowski, Acetal based DUV Photoresist for Sub-Quarter Micron Lithography, Semiconductor Fabtech, pp 215-222, 1997 [4] Jan van Schoot et al, Advanced imaging and overlay performance of a DUV Step and Scan System, Semicon Japan 97 [5] Geert Vandenberghe, et al., 248 nm lithography for the 0.18 micron generation, OLIN Interface, pp 29-42, October 1996 [6] Sassan Nour, et.al., Process techniques for improving post-exposure delay stability in chemically amplified resists, advances in resist technology and processing xiv, SPIE 1997, pp 134-168 [7] Jo Finders, et.al., Optimizing I-line lithography for 0.3 micron polygate manufacturing, Solid State Technology, March 1997 8

APPENDIX Experimental data Table 2 0.25 µm lines and spaces results Energy (mj/cm 2 ) Isofocal CD dense Iso-dense bias (nm) DOF @ 10% EL dense EL @ 0.8 DOF dense (%) ODOF @ 10% EL dense and isolated APEX-E 9.6 0.235-3 1.12 15.2 0.72 SEPR-4103PB50 21.2 0.29-1.21 19.3 0.72 AZ-DX1300 11.5 0.23-32 1.35 19.8 0.79 Photoresist 1 30 0.27-1.20 16.9 - Photoresist 2 20 0.26-0.98 12.8 - Photoresist 3 30.2 0.245-49 1.03 15.7 0.79 Photoresist 4 27.7 0.275-17 1.12 17.9 0.51 Photoresist 5 20 0.31-1.19 18.1 - Photoresist 6 14.4 0.29-1 0.85 11.8 - Photoresist 7 32.3 0.28 0 1.15 19.5 0.62 Table 3 0.225 µm lines and spaces results Isofocal CD dense Iso-dense bias (nm) DOF @ 10% EL dense EL @ 0.8 DOF dense (%) ODOF @ 10% EL dense and isolated APEX-E 0.20-12 0.80 10.1 0.65 SEPR-4103PB50 0.255-1.09 15.6 0.85 AZ-DX1300 0.205-42 1.24 13.4 0.60 Photoresist 1 - - - - - Photoresist 2 - - - - - Photoresist 3 0.22-52 0.83 10.4 0.46 Photoresist 4 0.255-2 0.95 12.8 0.40 Photoresist 5 - - - - - Photoresist 6 0.255-20 0.73 8.5 0.41 Photoresist 7 0.255-4 1.0 14 0.85 Table 4 0.175 µm lines and spaces results Isofocal CD dense DOF @ nominal dense EL max (%) APEX-E 0.15 0.6 - SEPR-4103PB50 0.19 0.7 15.2 AZ-DX1300 0.16 1.1 13.2 Photoresist 1 - - - Photoresist 2 - - - Photoresist 3 0.17 0.8 17 Photoresist 4-0.8 12.7 Photoresist 5 - - - Photoresist 6 0.178 0.9 15.3 Photoresist 7 0.20 0.7 13.7 9

Table 5 0.25 µm dense lines delay results DOF @ 10% EL ref DOF @ 10% EL CED delay DOF @ 10% EL PED delay APEX-E 1.12 1.12 1.12 SEPR-4103PB50 1.21 1.03 1.21 AZ-DX1300 1.35 1.35 1.35 Photoresist 1 1.20 - - Photoresist 2 0.98 - - Photoresist 3 1.03 - - Photoresist 4 1.12 1.13 1.02 Photoresist 5 - - - Photoresist 6 0.85 0.90 0.97 Photoresist 7 1.15-0.92 Table 6 0.25 µm contact holes results Incoupling Energy (mj/cm 2 ) Maximal DOF Maximal EL (%) APEX-E E min 17.2 0.8 - TOK TDUR-P015 E min 54.5 1.6 25.9 E max 81 1.4 14.4 Photoresist 8 E min 38 1.6 25 E max 56 1.5 37.3 Photoresist 1 E min 61 1.3 20 E max 75 1.2 36.7 Photoresist 2 E min 59 1.1 33.9 E max 98 1.2 16.2 Photoresist 4 E max 11.2 0.5 8.7 Photoresist 9 E min 46 1.4 31.8 E max 57.5 1.3 29.1 Photoresist 10 E min 54.5 1.1 33.9 E max 73.5 0.9 25.9 10

11

4022 502 94093