A Real Time Implementation of High Speed Data Transmission using Aurora Protocol on Multi-Gigabit Transceivers in Virtex-5 FPGA

Similar documents
International Journal of Scientific & Engineering Research, Volume 4, Issue 12, December ISSN

Virtex-5 GTP Aurora v2.8

Implementation of Ethernet, Aurora and their Integrated module for High Speed Serial Data Transmission using Xilinx EDK on Virtex-5 FPGA

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7

XMC-FPGA05F. Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad Fiber-optics. Data Sheet

Fibre Channel Arbitrated Loop v2.3

Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.4

LogiCORE IP Serial RapidIO v5.6

Controller IP for a Low Cost FPGA Based USB Device Core

ChipScope Pro Software and Cores

LogiCORE TM IP Aurora 8B/10B v5.3

10GBase-R PCS/PMA Controller Core

Chapter 9. Ethernet. Part II

Scaling the NetFPGA switch using Aurora over SATA

ISSN Vol.05, Issue.12, December-2017, Pages:

í ChipScope Pro Software and Cores User Guide [] UG029 (v14.2) July 25, 2012

ECE 485/585 Microprocessor System Design

ISE Design Suite Software Manuals and Help

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Quad Serial Gigabit Media Independent v3.4

Upper Level Protocols (ULP) Mapping. Common Services. Signaling Protocol. Transmission Protocol (Physical Coding) Physical Interface (PI)

Design and Simulation of UART for Serial Communication

Ethernet Technologies

LogiCORE IP Quad Serial Gigabit Media Independent v1.3

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC User Guide. UG194 (v1.7) October 17, 2008

PERFORMANCE OF DMA MODE UART IP SOFT CORE IN EMBEDDED SYSTEMS

LogiCORE IP Quad Serial Gigabit Media Independent v1.2

LogiCORE IP RXAUI v2.4

LogiCORE IP Quad Serial Gigabit Media Independent v1.1 Product Guide

Altera Product Overview. Altera Product Overview

sfpdp core Specification

QuiXilica V5 Architecture

Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ

Health monitoring of an power amplifier using an ethernet controller

SP605 GTP IBERT Design Creation

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009

Field Programmable Gate Array (FPGA) Devices

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

C H A P T E R GIGABIT ETHERNET PROTOCOL

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

LogiCORE IP Ethernet 1000BASE-X PCS/PMA or SGMII v11.3

Optimal Management of System Clock Networks

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Implimentation of SpaceWire Standard in SpaceWire CODEC using VHDL

10 Gigabit XGXS/XAUI PCS Core. 1 Introduction. Product Brief Version April 2005

Point-to-Point Connectivity Using Integrated Endpoint Block for PCI Express Designs Authors: Sunita Jain and Guru Prasanna

Design and Implementation of Hamming Code on FPGA using Verilog

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388

Documentation. Implementation Xilinx ISE v10.1. Simulation

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Design and Implementation of High Performance DDR3 SDRAM controller

AD GSPS Analog Input XMC/PMC with Xilinx Virtex -5 FPGA. Data Sheet

Clock Correction Module

Virtex-5 FPGA Integrated Endpoint Block for PCI Express Designs

Laboratory Finite State Machines and Serial Communication

PXIe FPGA board SMT G Parker

IEEE 802 LANs SECTION C

Chapter 8 OSI Physical Layer

Adapter Modules for FlexRIO

SFP GBIC XFP. Application Note. Cost Savings. Density. Flexibility. The Pluggables Advantage

Virtual Input/Output v3.0

SMT943 APPLICATION NOTE 1 APPLICATION NOTE 1. Application Note - SMT372T and SMT943.doc SMT943 SUNDANCE MULTIPROCESSOR TECHNOLOGY LTD.

DINI Group. FPGA-based Cluster computing with Spartan-6. Mike Dini Sept 2010

Application Note: Optical data transmission with the Avocet Image Sensor

SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft. SpaceTech Expo Gregor Cranston Business Development Manager

Using ChipScope. Overview. Detailed Instructions: Step 1 Creating a new Project

SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's)

475 Electronics for physicists Introduction to FPGA programming

JESD204B Xilinx/IDT DAC1658D-53D interoperability Report

UltraScale Architecture Integrated IP Core for Interlaken v1.3

LogiCORE IP FIFO Generator v6.1

100 Gbps/40 Gbps PCS/PMA + MAC IP Core

1. Which layer of the OSI model is responsible for specifying the encapsulation method used for specific types of media?

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures

New! New! New! New! New!

ML605 GTX IBERT Design Creation

LogiCORE IP Quad Serial Gigabit Media Independent v2.0

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy

40GBase QSFP+ PSM4 1.5km Optical Transceiver

AvnetCore: Datasheet

Intel Thunderbolt. James Coddington Ed Mackowiak

A Protocol for Realtime Switched Communication in FPGA Clusters

Development of Monitoring Unit for Data Acquisition from Avionic Bus 1 Anjana, 2 Dr. N. Satyanarayan, 3 M.Vedachary

KC705 GTX IBERT Design Creation October 2012

Logic Built In Self-Test Verification Statergy For SerDes PHY

SP605 GTP IBERT Design Creation

Fiber Optic Components Dedicated to supplying industry standard fiber optic components!

Pretty Good Protocol - Design Specification

Possibilities of implementation of synchronous Ethernet in popular Ethernet version using timing and interference constraints

Virtex-6 FPGA GTX Transceiver OTU1 Electrical Interface

DESIGN AND IMPLEMENTATION OF 32-BIT CONTROLLER FOR INTERACTIVE INTERFACING WITH RECONFIGURABLE COMPUTING SYSTEMS

PHOENIX CONTACT - 11/2008

SMT9091 SMT148-FX-SMT351T/SMT391

Functional Specification

Transcription:

A Real Time Implementation of High Speed Data Transmission using Aurora Protocol on Multi-Gigabit Transceivers in Virtex-5 FPGA T.Vijaya Bhaskar Reddy Computers and Communications (M.tech ), ECE Department, University College of Engineering, JNTU Kakinada, Kakinada Andhra Pradesh -533003, India. vijayabhaskar_kpm@yahoo.com Dr. M. Sailaja M.S.,Ph.D Professor, ECE Department, University College of Engineering, JNTU Kakinada,Kakinada Andhra Pradesh -533003, India. s.maruvada@rediffmail.com Mr. S.R.Pankaj Kumar Scientist. F, Defence Electronics Research Laboratory (DLRL), Ministry of Defence, Government of India Chandrayangutta lines, Hyderabad 500 005, India. pankaj_salla@rediffmail.com Abstract - This paper proposes a design and implementation of high speed data transmission of 128 bit data serially at the rate of 3.125Gbps using architectural features of virtex-5 FPGA. The data transmission and reception is carried out using Aurora protocol on Multi-Gigabit Transceivers (MGT s). The 128 bit parallel data which is generated by using a counter is transmitted through asynchronous first-in first-out (AFIFO) to aurora module. Multi-Gigabit Transceivers present in the FPGA are configured using aurora protocol and works at the clock rate of 156.25 MHz (MGT clock). Aurora protocol converts the parallel data into serial data and vice versa. The data from aurora module is transmitted serially at the rate of 3125 MHz through optical fiber cable which is used as transmission medium for high speed serial data transmission. The receiver module receives data serially, converts it into parallel form and passed to AFIFO module to verify the received data. Keywords - Aurora protocol, Virtex-5 FPGA, Multi-Gigabit Transceivers, Asynchronous FIFO, Serial data transmission. I. INTRODUCTION The parallel transmission technology was used previously for transmitting 128 bit parallel data. In this technology data is transmitted in the form of four 32 bit parallel data, using multiplexer. In this format 32 parallel lines are used for transmitting and receiving data which would consume more resources and time taking process when compared to serial transmission. Serial transmission technology is increasingly used for the transmission of digital Data due to its improved signal integrity and high transmission speeds. Serial data transmission implies that one bit is sent after another (bit - serial) on a single transmission line. Serial data transmission is suitable for communication between two participants as well as between several participants. High-Speed Serial transmission gained its importance because parallel I/O schemes reach physical limitations when data rates begin to exceed just 1 Gb/s and can no longer provide a reliable, costeffective means for keeping signals synchronized [6]. Serial I/O-based designs offer many advantages over parallel implementations including fewer device pins, reduced board space requirements, fewer printed circuit board (PCB) layers, smaller connectors, easier layout of the PCB, lower electromagnetic interference, and better noise immunity. Multi-Gigabit Transceiver (MGT) is a Serialiser /Deserialiser(SerDes) capable of operating at serial bit rates above 1 Gigabit/second. MGTs are used increasingly for data communications because they can run over longer distances, use fewer wires, and thus have lower costs than parallel interfaces with equivalent data throughput. MGTs are hard silicon present inside the FPGA.MGTs use different new technologies to operate at high line rates besides serialization and deserialization. Such as differential signaling, MOS current mode logic (MCML), emphasis, phase locked loops (PLLs), error detection, channel bonding and Electrical Idle/Out-of-Band Signaling. II. AURORA PROTOCOL Aurora is an area-efficient, scalable data transfer protocol for high speed serial links. There are two types of aurora protocol depending on the type of encoding and decoding. They are Aurora 8b/10b protocol Aurora 64b/66b protocol The Aurora 8B/10B [1, 8] protocol is used in the implementation of the application. It is a scalable, link-layer protocol that can be used to move data from point-to-point across one or more high-speed serial lanes (Lane is a full duplex physical serial connection). The Aurora 8B/10B is protocol independent and can be used to transport industry standard protocols, such as Ethernet and TCP/IP, or proprietary protocols. This allows designers of nextgeneration communication and computing systems to achieve higher connectivity performance. The Aurora 8B/10B protocol is primarily targeted at chip-to-chip and board-toboard applications and it can also be used for box-to-box applications with the addition of standard optical 106

interface components. It is an open standard and is available for implementation by anyone without restriction. The LogiCORE IP Aurora 8B/10B core implements the 2. 3. Supports a large number of bonded lanes for high aggregate bandwidth. Supports Full Duplex & Simplex channels. Aurora 8B/10B protocol using the high-speed serial 4. Unlimited Frame size/flexible framing. transceivers on the Virtex-5 LXT, SXT, FXT, and TXT family, the Virtex-6 LXT, SXT, CXT, HXT, and lower power family, 5. Aurora IP Core minimizes FPGA resource utilization. and the Spartan-6 LXT family. The Aurora 8B/10B core is a lightweight, serial communications protocol for multi-gigabit III. APPLICATION DESIGN links. It is used to transfer data between devices using one or many GTP/GTX transceivers. Connections can be full-duplex The following figure explains the design and its procedure to implement the high speed data transmission serially. (data in both directions) or simplex. Aurora 8B/10B cores automatically initialize a channel when they are connected to an Aurora channel partner. After initialization, applications can pass data freely across the channel as frames or streams of data. Aurora frames can be any size, and can be interrupted at any time. Gaps between valid data bytes are automatically filled with idle sequences to maintain lock and prevent excessivee electromagnetic interference. Flow control is optional in Aurora and can be used to reduce the rate of incoming data, or to send brief high priority messages through the channel. Streams are implemented in the Aurora 8B/10B core as a single, unending frame. Whenever data is not being transmitted, idle sequences are transmitted to keep the link alive. The Aurora 8B/10B core detects single-bit, and most multibit errors using 8B/10B coding rules. Excessive bit errors, Fig. 2 Block diagram disconnections, or equipment failures cause the core to reset The modules present in the above figure are binary counter and attempt to re-initialize a new channel. with 128bits width, Asynchronous First-In-First-Out The Aurora 8B/10B protocol describes the transfer of user (AFIFO), Multi-Gigabit Transceivers (MGTs) which are data across an Aurora 8B/10B channel. An Aurora 8B/10B configured using aurora protocol, SFP (Small Form factor channel consists of one or more Aurora 8B/10B lanes. Each Pluggable) Transceivers and Optical Fibre Cable for Aurora 8B/10B lane is a full-duplex serial data connection. transmission of the data between the transceivers. The devices that communicate across the channel are called The resources used for design and implementation of the channel partners. high speed data transmission are as follows: A. Software resources: 1. Xilinx 13.1 ISE tool. 2. Xilinx core generator. 3. Xilinx aurora protocol. B. Hardware Resources: 1. Virtex-5 RocketIO development board. 2. SFP transceiver 3. Optical fiber cable 4. Switch Mode Power Supply(SMPS) 5. JTAG cable Fig. 1 Aurora channel overview and interface with user application In the figure 1, it shows that how the communication between two user applications through aurora cores. It also shows that the aurora lanes and channels where the serial transmission of the data is transmitted between the users. The following are the uses of the aurora 1. High bandwidth transmission SERDES data rate capability. protocol: limited only by The Xilinx FPGA device used is virtex-5 FX series (XCV5FX100T-1FF1136) with speed grade -1 and package ff1136. It is used for the logic implementation of high speed serial connectivity. The different platforms in which virtex5 devices present are LX, LXT, SXT, TXT and FXT platforms. Each one is specified for particular purpose depending on the application. 1. Virtex-5 LX: High-performancapplications general logic 107

2. Virtex-5 LXT: High-performance logic with advanced TABLE I TYPES OF FIFO CORE GENERATORS serial connectivity 3. Virtex-5 SXT: High-performance signal processing applications with advanced serial connectivity 4. Virtex-5 TXT: High-performance systems with double density advanced serial connectivity 5. Virtex-5 FXT: High-performance embedded systems with advanced serial connectivity Gigabit transceivers are present inside the FPGA silicon. GTP and GTX are the two types of transceivers present in the virtex 5. RocketIO GTP transceivers are present in LXT and SXT Platforms which supports speeds in the range of 100 Mb/s to 3.75 Gbps baud rates. RocketIO GTX transceivers are present in TXT and FXT Platforms which supports speeds in the range of 150 Mb/s to 6.5 Gbps baud rates. Each transceiver supports full-duplex, clock and data recovery in virtex-5 series. IV. IMPLEMENTATION The application is implemented in the following Phases 1. COUNTER implementation phase 2. FIFO implementation phase 3. AURORA Protocol Implementation phase using Multi-Gigabit transceivers. 1. Counter Implementation phase: A binary counter is designed with 128 bits width and it counts up to 128 and starts from zero. The counter is designed by using combinational logic, VHDL code [4] is written to generate the required output. Synthesis, simulation and implementation of the code are done using Xilinx ISim[7] (ISim is an abbreviation for ISE Simulator, an integrated HDL simulator used to simulate Xilinx FPGA and CPLD designs) and chip scope PRO analyser. 2. FIFO implementation phase: A synchronous FIFO is a FIFO where the same clock is used for both reading and writing. An asynchronous FIFO uses different clocks for reading and writing. Asynchronous First-In-First-Out (AFIFO) is used for clock transition and non-asymmetric ratio of the data in and out. AFIFO is generated from the Xilinx core generator tool and customised according to the application. The FIFO Generator used is a Xilinx CORE Generator IP (Intellectual Property) core [9] and it is free of charge and no license is required. The FIFO Generator enables FIFOs to be configured with either independent or common clock domains for write and read operations. The independent clock configuration of the FIFO Generator enables the user to implement unique clock domains on the write and read ports. The FIFO Generator handles the synchronization between clock domains, placing no requirements on phase and frequency relationships between clocks. A common clock domain implementation optimizes the core for data buffering within a single clock domain. Y: YES, N: NO Among the different types FIFOs present, Block Ram Fifo is used to implement the project application, since it has the required features like non-asymmetric ratio and First Word Fall Through (FWFT). Xilinx core supports generating FIFOs, whose write and read ports have different widths, enabling automatic width conversion of the data width. Non-symmetric aspect ratios ranging from 1:8 to 8:1 are supported for the write and read port widths. This feature is available for FIFOs implemented with block RAM that are configured to have independent write and read clocks. Non-asymmetric ratio of inputs and outputs is required in our application, the input to the fifo is 128 bit parallel data and output is 16 bit parallel data. A. First-Word Fall-Through: The first-word fall-through (FWFT) feature provides the ability to look ahead to the next word available from the FIFO without having to issue a read operation. The FIFO accomplishes this by using output registers which are automatically loaded with data, when data appears in the FIFO. This causes the first word written to the FIFO to automatically appear on the data out bus (DOUT). Hence this feature increases the speed of the operation. The counter and AFIFO module are integrated to obtain the required clock rate and data width. The output of the counter_fifo integrated module is 16 bit parallel data at the rate of 156.25 MHz and it is passed to aurora module. 108

3. Aurora protocol implementation phase using multigigabit transceivers: Multi-gigabit transceivers are present in FPGA as hard silicon. These are configured using aurora protocol for the application of high speed serial data transmission at the rate of 3.125 GHz. A. Customizing Aurora core: One of the important parameters [3] that we should select while generating the core is LaneWidth which decides the number of bytes transmitted during the period of one clock cycle. Aurora supports LaneWidth of 2 and 4. If we set the LaneWidth to 4, the user clock (USER CLK) should be half of the reference clock (REFCLK), whereas with a LaneWidth of 2, the frequency of USER CLK and REFCLK should be the same. So, the effective data rate will be same for both cases. Moreover, the clock generation for a LaneWidth of 4 is complicated than for a LaneWidth of 2. So we have selected the default LaneWidth value of 2. There are two types of data path interfaces used for the core which are Framing and Streaming. The Streaming interface is a simple word-based interface with a data valid signal to stream data through the Aurora channel whereas the Framing interface is a Local Link interface that allows encapsulation of data frames of any length. Since in our application we have to transmit data continuously over the communication link, we selected streaming interface. The Aurora core supports two data flow modes such as Simplex and Duplex. We have selected Duplex mode because we need to transmit data in both directions. The Aurora core also supports several clock inputs to drive the RocketIO transceivers. REFCLK is lowjitter differential clock that can support line rates up to 3.125 Gb/s. It is selected to match the transceivers clock. Now, select the MGT tile whose connections are brought outside to connect SFP module case for data transmission. The counter_fifo module is integrated with aurora module. The output of the counter_fifo module is given to the aurora module as input data. The multi-gigabit transceivers which are configured using aurora 8b/10b protocol converts the 2 byte data into 20 bits(each byte-8 bits in to 10 bits). Now these bits of data are converted in to serial form and then transmitted through optical fiber cable using SFP module at the rate of 3.125Gbps (156.25MHz 20bits). The final integrated module is simulated and the required output is obtained. After implementation process in Xilinx ISE the bit file is generated. This bit file is dumped into FPGA using JTAG cable and the results of the logic is observed in chipscope logic analyzer (Software logic analyzer). B. SFP Transceiver: Small Form factor Pluggable transceiver (SFP) [5] is a compact, hot-pluggable transceiver used for both telecommunication and data communications applications. It interfaces a network device mother board (for a switch, router, media converter or similar device) to a fiber optic or copper networking cable. SFP transceivers are designed to support SONET, Gigabit Ethernet, Fibre Channel. Completely passive modules have a minimal effect on serial data traffic. SFP transceivers are available with a variety of different transmitter and receiver types, allowing users to select the appropriate transceiver for each link to provide the required optical reach over the available optical fiber type (e.g. multimode fiber or single-mode fiber). Optical SFP modules are commonly available in four different categories: 850 nm, 1310nm, 1550nm, and DWDM. SFP transceivers are also available with a "copper" cable interface, allowing a host device designed primarily for optical fiber communications to also communicate over unshielded twisted pair networking cable. The optical transceiver which is used supports highspeed serial links over multimode optical fiber at signaling rates up to 4.25 Gb/s.SFP transceivers converts the electrical signal to light signal and vice versa. C. JTAG Cable: JTAG cable is used for boundary scanning of the FPGA device and communication link between the computer and FPGA board. The full form of JTAG is Joint Test Action Group because it is developed by Joint Test Action Group and Sanctioned by IEEE as STD 1149.1 test access port and Boundary Scan Architecture in 1990. D. BIT Files: Bit files are Xilinx FPGA configuration files generated by the Xilinx FPGA design software. They are proprietary format binary files containing configuration information. One BIT file is required for each Xilinx FPGA in the JTAG boundary-scan chain. At the receiver side the data received by the MGT is converted to parallel form and it is given to AFIFO to obtain the original form of data. V. CHIPSCOPE PRO ANALYSER ChipScope Pro is software-based logic analyser [2, 3].It allows to monitor the status of the selected signals in a design in order to detect possible design errors. It provides several cores that can be added to a design by generating the cores with the CORE Generator tool, instantiating them into the source code, and connecting the cores to the design before the synthesis process. Alternatively, it is possible to customize the cores and insert them into the design netlist using the ChipScope Pro Core Inserter tool after the synthesis process. The design is then implemented into the FPGA device using the implementation tools of the Xilinx. The type of cores required for using ChipScope Pro analyser are 1. ICON (Integrated CONtroller) 2. ILA (Integrated Logic Analyser) and 3. VIO (Virtual Input/Output) ChipScope Pro Cores use the JTAG Boundary Scan port to communicate with the host computer through a JTAG downloading cable (either a parallel or a USB cable). 109

VI. RESULTS The following are the results obtained for the final integrated FIFO_AURORA module in chipscope analyser. Fig.3 Design steps for using chip scope software. In the figures 4 and 5 the signals shown are channel_up, lane_up, Tx_data and rx_data are shown. To keep the channel link continuously active, idle sequences are sent when actual data is not present. Here data sent over the tx_data is 0000 0007, it is received on the rx_data signal line after 32 clock cycles of latency which is in the permissible limit ( Maximum latency for a 2-byte designs from TX_SOF_N to RX_SOF_N is approximately 52 GTP/GTX transceivers clock cycles in simulation.). In the fig.3, it shows the ways in which chipscope cores can be generated and inserted into the ISE design to implement the design. Fig.4 Final output obtained by chipscope analyser Fig.5 Final output obtained by chipscope analyser 110

VII. CONCLUSION The high speed serial data is transmitted through optical fiber cable at the rate of 3.125 Gbps using multi-gigabit transceiver and received by other multi-gigabit transceivers. Both the transmitted and received data are monitored in the chipscope analyser. VII. FUTURE SCOPE The present paper is implemented using aurora protocol for serial data transmission at the rate of 3.125Gbps. The next level protocol is Serial Rapid IO (SRIO) which works on the principle of data packets switching is more efficient for error free transmission and speed can be increased to higher level. REFERENCES [1] Aurora 8b/10b protocol specification,2010 by Xilinx. http://www.xilinx.com/support/documentation/ip_documentation/auror a_8b10b_protocol_spec_sp002.pdf. [2] Chipscope pro integrated bit error ratio test (IBERT) for virtex -5 FPGA GTX(v2.01a), DS774 October 19, 2011. [3] ChipScope Pro Software and Cores User Guide by Xilinx http://www.xilinx.com/support/documentation/sw_manuals/chipscope_ pro_sw_cores_9_1i_ug029.pdf [4] Circuit Design with VHDL text book by Volnei A. Pedroni. [5] Data Sheet -850 nm, SFP (Small Form Pluggable), RoHS Compliant, Low Voltage (3.3 V) Digital Diagnostic Optical Transceiver by AVAGO Technologies. http://www.avagotech.com/docs/av02-0881en [6] High speed serial I/O made simple- A designers guide.with FPGA applications book by Abhijit Athavale and Carl Christensen of Xilinx. http://www.xilinx.com/publications/archives/books/serialio.pdf [7] ISim User Guide user guide 660 (version 13.1)march18,2011 http://www.xilinx.com/support/documentation/sw_manuals/xilinx13_1 /plugin_ism.pdf [8] Logiccore ip aurora 8b/10 v5.2 user guide july 23, 2010 by xilinx. [9] LogiCORE IP FIFO Generator v8.1, DS 317, 2011. ACKNOWLEDGMENT The authors would like to thank D.B.Rajesh, Field Application Engineer (FAE) o f Avnet technologies, Hyderabad, T.RaviTeja, VLSI engineer of radix Microsystems, hyderabad for their support in implementation of the hardware and D.Krishna Veni Sc. D, DLRL, hyderabad for giving valuable suggestions. 111