In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

Size: px
Start display at page:

Download "In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System"

Transcription

1 In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer Engineering University of Oklahoma 1

2 2007 Milestones Review and comparison of state-of-art interconnection scheme Proposed the Digital Radar End Node (DREN) concept Study the RapidIO standard Case study with Mercury Computer Systems Demonstrate and test P-P serial links on a single FPGA device Started the custom-protocol-design 2

3 The function and inter-connection of MPAR elements From antennas Analog beamformers Digital Transceiver Digital Transceiver Digital Transceiver Digital beamformer (function/frequency 1) Digital beamformer (function/frequency N) Backplane processors Level of complexity: 400 sub-arrays, 220 beams, tera-operations/sec, 1GB/s data bandwidth 3

4 MPAR data transaction types Source / end Potential requirements ADC to Digital Pre-filter high speed, low-latency, general point-to-point allow small # of packet dropping Digital pre-filter to digital beamformers high speed, low latency, multicast, and more strict (DBF) requirement on packet dropping DBF processing module median speed, low-median latency, point-to-point To DBF processing module median-high transportation reliability, possible on-chip DBF to backplane processors lower speed, higher latency, point to multi-point, highest transportation reliability Control and synchronization signals low speed, low latency messaging, strict timing requirement, high transportation reliability Overall system-level requirement Open architecture (scalability and survivability) Low-latency (e.g., P-to-P < 100 ns) High efficiency Signal integrity Immunity to noise and interference Lowest pin-count and cost 4

5 Serial interconnection and data transportation technology Point-to-Point Switching Fabric straightforward routing Packetized divide data stream into small packets Serial differential pairs on PCB low-power, reliable and low-cost Simple protocol no OS requirement different from Ethernet Protocol FSM SERDES Diff pairs Switch fabric Diff pairs Embedded transceiver FIFO management Clock correction Channel bonding 8B/10B codec Clock management Other switches/ endpoints SERDES Protocol FSM 5

6 Existing High-speed serial IO Standards Speed #of Diff Pairs application area cost and complexity RapidIO 1.25/2/5/3.125 Gbps 1, 4 or 16 lanes DSP farms, processor low and low power (per lane) ASIC and FPGA LVDS 500M-1.5 Gbps 1 telecommunications low and low power computer and high-speed point-to-point link RocketIO 622M-6.25 Gbps up to 24 transceiver Xilinx FPGA, chip and low (per lane) lanes backplane (Physical layer compatible) XAUI 3.125/3/75 Gbps 4 backplane, computer high and high power (10 networks consumption Gigabit Ethernet) RapidIO fits our needs better because it s scalable-modular, high-speed, robust, simple and lower cost Highest effective speed over minimum physical resource 6

7 Comparison to other high-speed standards Protocol Efficiency comparison (RapidIO, Gigabit-Ethernet and PCI Express) Effective Bandwidth comparison 7

8 Current Industrial Applications (Mercury Computers) Multi-channel digital receivers (e.g., Echotek ECV4-4) System-platforms (e.g., Ensemble) RapidIO is becoming one of the most important interconnection schemes for Mercury's future products 8

9 Data acquisition Digital filtering DBF DREN can be reconfigured or do self-reconfigure during operation On-chip controller Serial transceiver Data acquisition Digital filtering DBF On-chip parallel bus On-chip controller Serial transceiver Smaller, cheaper and faster because Operations are within chip-boundary chip boundary Serial IO Switch Serial IO Switch Local memory banks On-chip controller Serial transceiver DREN concept 9

10 Phased Array Radar as a DREN network DREN DREN DREN DREN Switch network Level I DREN DREN Switch network Level II DREN DREN Analog I/Q time-series Beam-data Performance issues: (1) Latency and variation (from analog to beamforming results) (2) Processing load deviation over time (3) Local memory requirement (4) Scheduling for radar functionalities (5) Fault detection and link self-reorganization Modeling/simulation work is needed for an in-depth study (FY 08 goals) 10

11 Technical Problem Formulation In-chip interconnection: The role of embedded micro-controller How to design the interface between on-chip bus and serial transceivers Memory requirements Control procedures Inter-chip interconnection: Channel optimization The signal integrity issues Serial-switch design and selections 11

12 Xilinx embodiment of DREN On-chip CPU PowerPC 405 (programmable) IPIF Xilinx on-chip PLB bus (32-bit or 64-bit) ADC-Data Data Interface (SRAM-type) UART (serial communication With PC) IPIF IPIF Digital FIR Filtering (Xilinx IP library) Internal Configuration Access Port On-chip Block-memory (BRAM) ICAP control MEM control IPIF External Memory Access IPIF Current Xilinx RocketIO XBERT High-speed Serial Transceiver 1x/4x 12

13 Xilinx RocketIO Transceiver Block Diagram and high-speed techniques Clock correction Channel bonding 13

14 Xilinx RocketIO Transceiver Block Diagram and high-speed techniques 8B/10B encoder/decoder Digital Clock Management (DCM) 14

15 Inter-chip connectivity: Signal Integrity Issues Black box of Differential Pair Structure We established simple differential transmission line model in 3D EM solver/simulator and study the signal quality for specific trace-board design Differential output with different output terminations 15

16 Experiment Setup FPGA Development board V2P FPGA with DREN test system (loop-back Rocket IO) Planar circuit under test Infiniium DSO High-speed Differential probe One-pair of coax-cables emulating differential TRL 16

17 Pattern at Gbps Using different types of planar circuits to transmit/sample high-speed digital waveforms and measure eye-width, eye-jitters using DSO GHz 90º hybrid GHz 0º power splitter 90º hybrid has bigger eyes and less jitters 17

18 Random data sequence at Gbps BER: 90º hybrid BER: 0º power splitter 18

19 Observations Actual BER is affected by transmission line characteristics and the data pattern A better eye-diagram for one data pattern does not guarantee better BER Amplitude and phase imbalance affects the data transportation performance More experiments and tests needed to obtain quantitative comparisons 19

20 FY-08 Plan (System level) Modeling and simulation of MPAR as a DREN network (In-chip level) Enhance the existing DREN reference design RapidIO HDL behavior simulations (PHY+Tansport layer) Possible hardware evaluations Customization for radar operations (Inter-chip level) Improve signal integrity engineering model (HFSS + Ansoft Designer) Experimental PCB design Team organization Dr. Rockee Zhang MS student (Mr. Hernan): hardware and programming PhD student (Mr. Wang): system level study and performance evaluation 20

21 Experimental System Planning Adding data acquisition daughter cards Try to obtain hardware evaluation cores Tsi 578 Test on more advanced FPGAs (i.e., Virtex 5) Plan to extend to 2-node Network with FPGA DVK and serial switch Try to obtain support to manufacturers Evaluate Serial RIO switches Tsi 578 Demonstrate the data IO Speed and performance Explore various architectures Evaluate application on MPAR 21

Technical Article MS-2442

Technical Article MS-2442 Technical Article MS-2442. JESD204B vs. Serial LVDS Interface Considerations for Wideband Data Converter Applications by George Diniz, Product Line Manager, Analog Devices, Inc. Some key end-system applications

More information

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB The Benefits of FPGA-Enabled Instruments in RF and Communications Test Johan Olsson National Instruments Sweden AB 1 Agenda Introduction to FPGAs in test New FPGA-enabled test applications FPGA for test

More information

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com FlexRIO FPGAs Bringing Custom Functionality to Instruments Ravichandran Raghavan Technical Marketing Engineer Electrical Test Today Acquire, Transfer, Post-Process Paradigm Fixed- Functionality Triggers

More information

QuiXilica V5 Architecture

QuiXilica V5 Architecture QuiXilica V5 Architecture: The High Performance Sensor I/O Processing Solution for the Latest Generation and Beyond Andrew Reddig President, CTO TEK Microsystems, Inc. Military sensor data processing applications

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

How to validate your FPGA design using realworld

How to validate your FPGA design using realworld How to validate your FPGA design using realworld stimuli Daniel Clapham National Instruments ni.com Agenda Typical FPGA Design NIs approach to FPGA Brief intro into platform based approach RIO architecture

More information

Components of a MicroTCA System

Components of a MicroTCA System Micro TCA Overview0 Platform, chassis, backplane, and shelf manager specification, being developed through PICMG Allows AMC modules to plug directly into a backplane Fills the performance/cost gap between

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page Prototyping NGC First Light PICNIC Array Image of ESO Messenger Front Page Introduction and Key Points Constructed is a modular system with : A Back-End as 64 Bit PCI Master/Slave Interface A basic Front-end

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

Data Acquisition in Particle Physics Experiments. Ing. Giuseppe De Robertis INFN Sez. Di Bari

Data Acquisition in Particle Physics Experiments. Ing. Giuseppe De Robertis INFN Sez. Di Bari Data Acquisition in Particle Physics Experiments Ing. Giuseppe De Robertis INFN Sez. Di Bari Outline DAQ systems Theory of operation Case of a large experiment (CMS) Example of readout GEM detectors for

More information

New Software-Designed Instruments

New Software-Designed Instruments 1 New Software-Designed Instruments Nicholas Haripersad Field Applications Engineer National Instruments South Africa Agenda What Is a Software-Designed Instrument? Why Software-Designed Instrumentation?

More information

Optical SerDes Test Interface for High-Speed and Parallel Testing

Optical SerDes Test Interface for High-Speed and Parallel Testing June 7-10, 2009 San Diego, CA SerDes Test Interface for High-Speed and Parallel Testing Sanghoon Lee, Ph. D Sejang Oh, Kyeongseon Shin, Wuisoo Lee Memory Division, SAMSUNG ELECTRONICS Why Interface? High

More information

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Craig Ulmer cdulmer@sandia.gov July 26, 2007 Craig Ulmer SNL/CA Sandia is a multiprogram laboratory operated by Sandia Corporation,

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

HPE720. Dual Xilinx Virtex -5 FPGA & MPC8640D VPX Processor Card. Data Sheet

HPE720. Dual Xilinx Virtex -5 FPGA & MPC8640D VPX Processor Card. Data Sheet Data Sheet HPE720 Dual Xilinx Virtex -5 FPGA & MPC8640D VPX Processor Card Applications Signal Intelligence (SIGINT) Image Processing Electronic Warfare (EW) Radar Processing Features FPGA and Power Architecture

More information

DIGITAL SYSTEM. Technology Overview Nordco. All rights reserved. Rev C

DIGITAL SYSTEM. Technology Overview Nordco. All rights reserved. Rev C DIGITAL SYSTEM Technology Overview Rev C 01-05-2016 Insert Full Frame Product Picture Here 2015 KEY FEATURES DIGITAL PROCESSING SYSTEM FOR INDUSTRIAL & TONNE UE SYSTEM DIGITAL PROCESSING SYSTEM FOR MICRO

More information

Altera Product Overview. Altera Product Overview

Altera Product Overview. Altera Product Overview Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High

More information

Embedded Tech Trends 2014 New EW architectures based on tight coupling of FPGA and CPU processing

Embedded Tech Trends 2014 New EW architectures based on tight coupling of FPGA and CPU processing Embedded Tech Trends 2014 New EW architectures based on tight coupling of and CPU processing 1 Sensors to Parallel Processing to Wide-Area Networks RF Sampling behind antenna LVDS capture Processing DMA

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction with

More information

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual user s guide Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual Avnet Design Services 1 of 18 Rev 1.0 12/15/2004 Table of Contents 1 Overview... 5 2 Jumpers... 6 3 Personality Module Mechanicals... 8

More information

FPGA Solutions: Modular Architecture for Peak Performance

FPGA Solutions: Modular Architecture for Peak Performance FPGA Solutions: Modular Architecture for Peak Performance Real Time & Embedded Computing Conference Houston, TX June 17, 2004 Andy Reddig President & CTO andyr@tekmicro.com Agenda Company Overview FPGA

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

Adapter Modules for FlexRIO

Adapter Modules for FlexRIO Adapter Modules for FlexRIO Ravichandran Raghavan Technical Marketing Engineer National Instruments FlexRIO LabVIEW FPGA-Enabled Instrumentation 2 NI FlexRIO System Architecture PXI/PXIe NI FlexRIO Adapter

More information

Xilinx Solutions for PCI Express

Xilinx Solutions for PCI Express Xilinx Solutions for PCI Express Agenda PCI Express Overview Why PCI Express? Key Requirements PCI Express Layered Architecture Physical Data Link Transaction Software Mechanical PCI Express Application

More information

Trends in Digital Interfaces for High-Speed ADCs

Trends in Digital Interfaces for High-Speed ADCs Trends in Digital Interfaces for High-Speed ADCs Robbie Shergill National Semiconductor Corp. INTRODUCTION The analog-to-digital converter is a critical component in many of the most demanding applications

More information

XMC-FPGA05F. Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad Fiber-optics. Data Sheet

XMC-FPGA05F. Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad Fiber-optics. Data Sheet Data Sheet XMC-FPGA05F Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad s Applications Remote Sensor Interface Data Recorders Distributed Processing Interconnect Protocol Converter Data Encryption

More information

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures Programmable Logic Design Grzegorz Budzyń Lecture 15: Advanced hardware in FPGA structures Plan Introduction PowerPC block RocketIO Introduction Introduction The larger the logical chip, the more additional

More information

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Application Note QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Copyrights and Trademarks Copyright 2004 Samtec,

More information

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Application Note Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Copyrights and Trademarks Copyright 2004 Samtec, Inc. Developed in conjunction with Teraspeed Consulting

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

Virtex-5 GTP Aurora v2.8

Virtex-5 GTP Aurora v2.8 0 DS538 October 10, 2007 0 0 Introduction The Virtex -5 GTP Aurora core implements the Aurora protocol using the high-speed serial GTP transceivers in Virtex-5 LXT and SXT devices. The core can use up

More information

AdvancedTCA Backplane Tester

AdvancedTCA Backplane Tester AdvancedTCA Backplane Tester Alexandra Dana Oltean, Brian Martin POLITEHNICA University Bucharest Romania and CERN 1211Geneva 23 Switzerland Email: alexandra.oltean@cern.ch, brian.martin@cern.ch CERN-OPEN-2005-014

More information

Imaging Solutions by Mercury Computer Systems

Imaging Solutions by Mercury Computer Systems Imaging Solutions by Mercury Computer Systems Presented By Raj Parihar Computer Architecture Reading Group, UofR Mercury Computer Systems Boston based; designs and builds embedded multi computers Loosely

More information

High Bandwidth Electronics

High Bandwidth Electronics DOE BES Neutron & Photon Detectors Workshop, August 1-3, 2012 Ryan Herbst System Overview What are the standard components in a detector system? Detector/Amplifier & ADC Digital front end - Configure and

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Model 5950 Features Supports Xilinx Zynq UltraScale+ RFSoC FPGAs 18 GB of DDR4 SDRAM On-board GPS receiver PCI Express (Gen. 1, 2 and 3) interface up to x8 LVDS connections to

More information

CHAMP-FX2. FPGA Accelerator Signal Processing Platform. Data Sheet. Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform

CHAMP-FX2. FPGA Accelerator Signal Processing Platform. Data Sheet. Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform Data Sheet CHAMP-FX2 FPGA Accelerator Signal Processing Platform Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform Two user-programmable Xilinx Virtex -5 FPGA nodes (LX110T or LX220T)

More information

Implementation of Ethernet, Aurora and their Integrated module for High Speed Serial Data Transmission using Xilinx EDK on Virtex-5 FPGA

Implementation of Ethernet, Aurora and their Integrated module for High Speed Serial Data Transmission using Xilinx EDK on Virtex-5 FPGA Implementation of Ethernet, Aurora and their Integrated module for High Speed Serial Data Transmission using Xilinx EDK on Virtex-5 FPGA Chaitanya Kumar N.V.N.S 1, Mir Mohammed Ali 2 1, 2 Mahaveer Institute

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

COSMOS Architecture and Key Technologies. June 1 st, 2018 COSMOS Team

COSMOS Architecture and Key Technologies. June 1 st, 2018 COSMOS Team COSMOS Architecture and Key Technologies June 1 st, 2018 COSMOS Team COSMOS: System Architecture (2) System design based on three levels of SDR radio node (S,M,L) with M,L connected via fiber to optical

More information

Nexus Instrumentation architectures and the new Debug Specification

Nexus Instrumentation architectures and the new Debug Specification Nexus 5001 - Instrumentation architectures and the new Debug Specification Neal Stollon, HDL Dynamics Chairman, Nexus 5001 Forum neals@hdldynamics.com nstollon@nexus5001.org HDL Dynamics SoC Solutions

More information

Implementing Multi-Gigabit Serial Links in a System of PCBs

Implementing Multi-Gigabit Serial Links in a System of PCBs Implementing Multi-Gigabit Serial Links in a System of PCBs Donald Telian April 2002 rev. 1.2 About the Author Donald Telian Technologist, Cadence Design Systems Donald has been involved in high-speed

More information

3CPF1. 3U PowerPC/Xilinx Virtex-II Pro Processing Engine. Data Sheet

3CPF1. 3U PowerPC/Xilinx Virtex-II Pro Processing Engine. Data Sheet Data Sheet 3CPF1 3U PowerPC/Xilinx Virtex-II Pro Processing Engine Applications The 3CPF1 is designed to solve the most demanding scalable processing requirements in embedded systems, in particular for

More information

Implementation of a Digital Processing Subsystem for a Long Wavelength Array Station

Implementation of a Digital Processing Subsystem for a Long Wavelength Array Station Jet Propulsion Laboratory California Institute of Technology Implementation of a Digital Processing Subsystem for a Long Wavelength Array Station Robert Navarro 1, Elliott Sigman 1, Melissa Soriano 1,

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Model 5950 Features Supports Xilinx Zynq UltraScale+ RFSoC FPGAs 18 GB of DDR4 SDRAM On-board GPS receiver PCI Express (Gen. 1, 2 and 3) interface up to x8 LVDS connections to

More information

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist High Performance Embedded Applications Raja Pillai Applications Engineering Specialist Agenda What is High Performance Embedded? NI s History in HPE FlexRIO Overview System architecture Adapter modules

More information

Advanced Computing, Memory and Networking Solutions for Space

Advanced Computing, Memory and Networking Solutions for Space Advanced Computing, Memory and Networking Solutions for Space 25 th Microelectronics Workshop November 2012 µp, Networking Solutions and Memories Microprocessor building on current LEON 3FT offerings UT699E:

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards White Paper ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards Sidhartha Mohanty and Fred Koons Lattice Semiconductor Corporation October 2003 Bringing the Best

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

SOFTWARE DEFINED RADIO

SOFTWARE DEFINED RADIO SOFTWARE DEFINED RADIO USR SDR WORKSHOP, SEPTEMBER 2017 PROF. MARCELO SEGURA SESSION 1: SDR PLATFORMS 1 PARAMETER TO BE CONSIDER 2 Bandwidth: bigger band better analysis possibilities. Spurious free BW:

More information

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

Strategies for Deploying RFSoC Technology for SIGINT, DRFM and Radar Applications. Rodger Hosking Pentek, Inc. WInnForum Webinar November 8, 2018

Strategies for Deploying RFSoC Technology for SIGINT, DRFM and Radar Applications. Rodger Hosking Pentek, Inc. WInnForum Webinar November 8, 2018 Strategies for Deploying RFSoC Technology for SIGINT, DRFM and Radar Applications Rodger Hosking Pentek, Inc. WInnForum Webinar November 8, 2018 1 Topics Xilinx RFSoC Overview Impact of Latency on Applications

More information

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech Signal Conversion in a Modular Open Standard Form Factor CASPER Workshop August 2017 Saeed Karamooz, VadaTech At VadaTech we are technology leaders First-to-market silicon Continuous innovation Open systems

More information

H100 Series FPGA Application Accelerators

H100 Series FPGA Application Accelerators 2 H100 Series FPGA Application Accelerators Products in the H100 Series PCI-X Mainstream IBM EBlade H101-PCIXM» HPC solution for optimal price/performance» PCI-X form factor» Single Xilinx Virtex 4 FPGA

More information

LogiCORE IP Serial RapidIO Gen2 v1.2

LogiCORE IP Serial RapidIO Gen2 v1.2 LogiCORE IP Serial RapidIO Gen2 v1.2 Product Guide Table of Contents Chapter 1: Overview System Overview............................................................ 5 Applications.................................................................

More information

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation CDNLive Boston August 2013 Mark Marlett and Mahesh Tirupattur, Analog Bits Ken Willis and Kumar Keshavan, Cadence

More information

FPE320. Xilinx Virtex -5 3U VPX Processor with FMC Site. Data Sheet

FPE320. Xilinx Virtex -5 3U VPX Processor with FMC Site. Data Sheet Data Sheet FPE320 Virtex -5 3U VPX Processor with Site Applications Electronic Warfare & Signal Intelligence (SIGINT) Electronic Counter Measures UAV Sensor Acquisition Semiconductor Inspection Seismic

More information

Low Latency Server Virtualization

Low Latency Server Virtualization Low Latency Server Virtualization Using RapidIO November 29-30, 2011 Server Design Summit Mohammad Akhter Devashish Paul Integrated Device Technology 2010 Integrated Device Technology, Inc. The Analog

More information

Wireless Infrastructure Solutions to Enhance the Digital Media Experience

Wireless Infrastructure Solutions to Enhance the Digital Media Experience WIRELESS INFRASTRUCTURE SOLUTIONS Wireless Infrastructure Solutions to Enhance the Digital Media Experience IDT ADDRESSES NEXT GENERATION WIRELESS BY ACCELERATING THE DATA PATH AND OFFLOADING FUNDAMENTAL

More information

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments White Paper Implementing RapidIO Travis Scheckel and Sandeep Kumar Communications Infrastructure Group, Texas Instruments In today s telecommunications market, slow and proprietary is not the direction

More information

SBC-COMe FEATURES DESCRIPTION APPLICATIONS SOFTWARE. EnTegra Ltd Tel: 44(0) Web:

SBC-COMe FEATURES DESCRIPTION APPLICATIONS SOFTWARE. EnTegra Ltd Tel: 44(0) Web: A Windows /Linux Embedded Single Board Computer with XMC IO Site FEATURES Combines an industry standard COM CPU module with an XMC IO module in a compact, stand alone design Scalable CPU performance from

More information

The VITA Radio Transport as a Framework for Software Definable Radio Architectures

The VITA Radio Transport as a Framework for Software Definable Radio Architectures The VITA Radio Transport as a Framework for Software Definable Radio Architectures Robert Normoyle (DRS Signal Solutions, Gaithersburg, Md; Robert.Normoyle@DRS-SS.com); and Paul Mesibov (Pentek, Inc. Upper

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

H.264 AVC 4k Decoder V.1.0, 2014

H.264 AVC 4k Decoder V.1.0, 2014 SOC H.264 AVC 4k Video Decoder Datasheet System-On-Chip (SOC) Technologies 1. Key Features 1. Profile: High profile 2. Resolution: 4k (3840x2160) 3. Frame Rate: up to 60fps 4. Chroma Format: 4:2:0 or 4:2:2

More information

FPGA Provides Speedy Data Compression for Hyperspectral Imagery

FPGA Provides Speedy Data Compression for Hyperspectral Imagery FPGA Provides Speedy Data Compression for Hyperspectral Imagery Engineers implement the Fast Lossless compression algorithm on a Virtex-5 FPGA; this implementation provides the ability to keep up with

More information

SMT338-VP. User Manual

SMT338-VP. User Manual SMT338-VP User Manual Version 1.3 Page 2 of 22 SMT338-VP User Manual Revision History Date Comments Engineer Version 16/08/04 First revision JPA 1.0 17/05/05 Corrected: purpose of Led 5 and Led 6 SM 1.1

More information

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Xilinx 90nm Design Seminar Series: Part I Xilinx - #1 in 90 nm We Asked our Customers: What are your challenges? Shorter design

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

SERIAL MULTI-PROTOCOL TRANSMISSION WITH THE LatticeSC FPGA

SERIAL MULTI-PROTOCOL TRANSMISSION WITH THE LatticeSC FPGA SERIAL MULTI-PROTOCOL TRANSMISSION WITH THE LatticeSC FPGA February 2006 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Serial

More information

HyperTransport. Dennis Vega Ryan Rawlins

HyperTransport. Dennis Vega Ryan Rawlins HyperTransport Dennis Vega Ryan Rawlins What is HyperTransport (HT)? A point to point interconnect technology that links processors to other processors, coprocessors, I/O controllers, and peripheral controllers.

More information

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation Presenter: Andrew Byers Ansoft Corporation High Performance Electronics: Technical Challenges Faster data rates in increasingly

More information

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments Serializer Deserializer Industry challenges The industry continues

More information

A design of real-time image processing platform based on TMS320C6678

A design of real-time image processing platform based on TMS320C6678 Advanced Materials Research Online: 2014-06-25 ISSN: 1662-8985, Vols. 971-973, pp 1454-1458 doi:10.4028/www.scientific.net/amr.971-973.1454 2014 Trans Tech Publications, Switzerland A design of real-time

More information

FPGA VHDL Design Flow AES128 Implementation

FPGA VHDL Design Flow AES128 Implementation Sakinder Ali FPGA VHDL Design Flow AES128 Implementation Field Programmable Gate Array Basic idea: two-dimensional array of logic blocks and flip-flops with a means for the user to configure: 1. The interconnection

More information

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP 1 M.DEIVAKANI, 2 D.SHANTHI 1 Associate Professor, Department of Electronics and Communication Engineering PSNA College

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj SECURE PARTIAL RECONFIGURATION OF FPGAs Amir S. Zeineddini Kris Gaj Outline FPGAs Security Our scheme Implementation approach Experimental results Conclusions FPGAs SECURITY SRAM FPGA Security Designer/Vendor

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

IDT for FPGAs CLOCKS AND TIMING INTERFACE AND CONNECTIVITY MEMORY AND LOGIC POWER MANAGEMENT RF PRODUCTS

IDT for FPGAs CLOCKS AND TIMING INTERFACE AND CONNECTIVITY MEMORY AND LOGIC POWER MANAGEMENT RF PRODUCTS IDT for FPGAs CLOCKS AND TIMING INTERFACE AND CONNECTIVITY MEMORY AND LOGIC POWER MANAGEMENT RF PRODUCTS IDT develops a broad range of low-power, high-performance mixed-signal semiconductor solutions that

More information

Analog & Digital I/O

Analog & Digital I/O Analog & Digital I/O ANALOG & DIGITAL I/O MODEL DESCRIPTION Cobalt 730 1 GHz and D/A, Virtex-6 - XMC Cobalt 78630 1 GHz and D/A, Virtex-6 - x8 Cobalt 53630 1 GHz and D/A, Virtex-6-3U VPX - Format 1 Cobalt

More information

The WINLAB Cognitive Radio Platform

The WINLAB Cognitive Radio Platform The WINLAB Cognitive Radio Platform IAB Meeting, Fall 2007 Rutgers, The State University of New Jersey Ivan Seskar Software Defined Radio/ Cognitive Radio Terminology Software Defined Radio (SDR) is any

More information

Calypso-V6 VME / VXS. Extreme Signal Acquisition. and FPGA-based Processing. Without Compromise

Calypso-V6 VME / VXS. Extreme Signal Acquisition. and FPGA-based Processing. Without Compromise The Leader In FPGA-based Sensor I/O Processing Calypso-V6 VME / VXS Extreme Signal Acquisition and FPGA-based Processing Without Compromise Features Two 12-bit ADCs at 3.6 GSPS Also supports 6 channels

More information

AMC GSPS 8-bit ADC, 2 or 4 channel with XCVU190 UltraScale

AMC GSPS 8-bit ADC, 2 or 4 channel with XCVU190 UltraScale KEY FEATURES 56 GSPS, 8-bit ADC, UltraScale 8-bit ADC at up to dual 56 GSPS 2 x 56 or 4 x 28 GSPS channels Xilinx UltraScale XCVU190 FPGA 16 GB of DDR-4 Memory (2 banks of 64-bit) ADC is 65 nm CMOS process

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

LVDS applications, testing, and performance evaluation expand.

LVDS applications, testing, and performance evaluation expand. Stephen Kempainen, National Semiconductor Low Voltage Differential Signaling (LVDS), Part 2 LVDS applications, testing, and performance evaluation expand. Buses and Backplanes D Multi-drop D LVDS is a

More information

Experience with the NetFPGA Program

Experience with the NetFPGA Program Experience with the NetFPGA Program John W. Lockwood Algo-Logic Systems Algo-Logic.com With input from the Stanford University NetFPGA Group & Xilinx XUP Program Sunday, February 21, 2010 FPGA-2010 Pre-Conference

More information

Network Interface Architecture and Prototyping for Chip and Cluster Multiprocessors

Network Interface Architecture and Prototyping for Chip and Cluster Multiprocessors University of Crete School of Sciences & Engineering Computer Science Department Master Thesis by Michael Papamichael Network Interface Architecture and Prototyping for Chip and Cluster Multiprocessors

More information

PCI Express 1.0a and 1.1 Add-In Card Transmitter Testing

PCI Express 1.0a and 1.1 Add-In Card Transmitter Testing Abstract PCI Express 1.0a and 1.1 Add-In Card Transmitter Testing Joan Gibson November 2006 SR-TN062 Add-in cards designed for PCI Express require numerous tests to assure inter-operability with different

More information

Selecting the Correct High Speed Transceiver Solution

Selecting the Correct High Speed Transceiver Solution Introduction White Paper Selecting the Correct High Speed Transceiver Solution Many standards and protocols are now using high speed transceivers (SERDES) as part of their physical interface. The protocols

More information

A Real Time Implementation of High Speed Data Transmission using Aurora Protocol on Multi-Gigabit Transceivers in Virtex-5 FPGA

A Real Time Implementation of High Speed Data Transmission using Aurora Protocol on Multi-Gigabit Transceivers in Virtex-5 FPGA A Real Time Implementation of High Speed Data Transmission using Aurora Protocol on Multi-Gigabit Transceivers in Virtex-5 FPGA T.Vijaya Bhaskar Reddy Computers and Communications (M.tech ), ECE Department,

More information

SMT407 User Manual User Manual; Version 1.0.2, 4/8/04; Sundance Digital Signal Processing, Inc. 2004

SMT407 User Manual User Manual; Version 1.0.2, 4/8/04; Sundance Digital Signal Processing, Inc. 2004 SMT407 User Manual User Manual; Version 1.0.2, 4/8/04; Sundance Digital Signal Processing, Inc. 2004 Version 1.0.0 Page 2 of 38 SMT407 User Manual Revision History Date Comments Engineer Version 2/28/05

More information

Strategies for Deploying Xilinx s Zynq UltraScale+ RFSoC

Strategies for Deploying Xilinx s Zynq UltraScale+ RFSoC Strategies for Deploying Xilinx s Zynq UltraScale+ RFSoC by Robert Sgandurra Director, Product Management On February 21 st, 2017, Xilinx announced the introduction of a new technology called RFSoC with

More information

Employing Multi-FPGA Debug Techniques

Employing Multi-FPGA Debug Techniques Employing Multi-FPGA Debug Techniques White Paper Traditional FPGA Debugging Methods Debugging in FPGAs has been difficult since day one. Unlike simulation where designers can see any signal at any time,

More information

Section I. Stratix II GX Device Data Sheet

Section I. Stratix II GX Device Data Sheet Section I. Stratix II GX Device Data Sheet This section provides designers with the data sheet specifications for Stratix II GX devices. They contain feature definitions of the transceivers, internal architecture,

More information

NEW FPGAs BOOST SOFTWARE DEFINED RADIO PERFORMANCE

NEW FPGAs BOOST SOFTWARE DEFINED RADIO PERFORMANCE NEW FPGAs BOOST SOFTWARE DEFINED RADIO PERFORMANCE Rodger H. Hosking (Pentek, Inc.: One Park Way, Upper Saddle River, New Jersey, 07458, USA, rodger@pentek.com) ABSTRACT With the advent of software defined

More information

A Single Chip Shared Memory Switch with Twelve 10Gb Ethernet Ports

A Single Chip Shared Memory Switch with Twelve 10Gb Ethernet Ports A Single Chip Shared Memory Switch with Twelve 10Gb Ethernet Ports Takeshi Shimizu, Yukihiro Nakagawa, Sridhar Pathi, Yasushi Umezawa, Takashi Miyoshi, Yoichi Koyanagi, Takeshi Horie, Akira Hattori Hot

More information

Understanding JESD204B High-speed inter-device data transfers for SDR

Understanding JESD204B High-speed inter-device data transfers for SDR Understanding JESD204B High-speed inter-device data transfers for SDR Lars-Peter Clausen Introduction JESD204 Standard Designed as high-speed serial data link between converter (ADC, DAC) and logic device

More information

New Initiatives and Technologies Brighten Embedded Software Radio

New Initiatives and Technologies Brighten Embedded Software Radio New Initiatives and Technologies Brighten Embedded Software Radio Embedded Tech Trends January 2018 Rodger Hosking Pentek, Inc. Sensor Open System Architecture (SOSA) Consortium of Air Force, Navy, Army,

More information