3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

Similar documents
3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

Bringing 3D Integration to Packaging Mainstream

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

Advancing high performance heterogeneous integration through die stacking

IMEC CORE CMOS P. MARCHAL

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

3D SoC and Heterogeneous Integrations

Stacked Silicon Interconnect Technology (SSIT)

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Multi-Die Packaging How Ready Are We?

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

TechSearch International, Inc.

3D technology evolution to smart interposer and high density 3D ICs

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

TechSearch International, Inc.

Advanced Packaging For Mobile and Growth Products

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

High speed full wafer monitoring of surface, edge and bonding interface for 3D-stacking

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

EUV Lithography and Overlay Control

TechSearch International, Inc.

Xilinx SSI Technology Concept to Silicon Development Overview

Packaging Innovation for our Application Driven World

A Design Tradeoff Study with Monolithic 3D Integration

Technology and Manufacturing

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

TABLE OF CONTENTS III. Section 1. Executive Summary

3D SoC Design Program. Paul Marchal on behalf of the 3D SoC design initiative

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

Interposer Technology: Past, Now, and Future

Total Inspection Solutions Ensuring Known-Good 3DIC Package. Nevo Laron, Camtek USA, Santa Clara, CA

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Embedded UTCP interposers for miniature smart sensors

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

Packaging Technology for Image-Processing LSI

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

3-D Package Integration Enabling Technologies

Introduction. SK hynix

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

Probing 25µm-diameter micro-bumps for Wide-I/O 3D SICs

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

3DIC & TSV interconnects business update

Heterogeneous Integration and the Photonics Packaging Roadmap

Technology Platform Segmentation

Package level Interconnect Options

Material technology enhances the density and the productivity of the package

TSV : impact on microelectronics European 3D TSV Summit MINATEC Campus Grenoble, January 22nd, 2013

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

3-Dimensional (3D) ICs: A Survey

VISUALIZING THE PACKAGING ROADMAP

Chapter 2 Three-Dimensional Integration: A More Than Moore Technology

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego.

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

BREAKING THE MEMORY WALL

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

3DIC & TSV interconnects

Non-contact Test at Advanced Process Nodes

VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri Harsha* 1, S.Anjaneeyulu 2

Rethinking the Hierarchy of Electronic Interconnections. Joseph Fjelstad Verdant Electronics

From Advanced Package to 2.5D/3D IC. Amkor Technology : Choon Lee

3D technology for Advanced Medical Devices Applications

Advanced Heterogeneous Solutions for System Integration

OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING

Burn-in & Test Socket Workshop

The Evolution of Multi-Chip Packaging: from MCMs to 2.5/3D to Photonics. David McCann November 14, 2016

LEVERAGING THE COMMERCIAL SECTOR AND PROVIDING DIFFERENTIATION THROUGH FUNCTIONAL DISAGGREGATION

Embedded Power Dies for System-in-Package (SiP)

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Test Cost Analysis for 3D Die-to-Wafer Stacking

System Scaling Opportunities for Future IT Systems

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

3D Integration: New Opportunities for Speed, Power and Performance. Robert Patti, CTO

PSMC Roadmap For Integrated Photonics Manufacturing

SEMI 大半导体产业网 MEMS Packaging Technology Trend

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Stacking Untested Wafers to Improve Yield. The 3D Enigma

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland.

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

MicraGEM-Si A flexible process platform for complex MEMS devices

All Programmable: from Silicon to System

Transcription:

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion 3D Landscape 2

APPLICATION DRIVERS Facebook 3

APPLICATION DRIVERS More Data; Faster Data Increased Power Consumption 4

APPLICATION DRIVERS More Data; Faster Data 3D Stacked IC s 3D-SIC Interposer stacking 5

MAIN APPLICATIONS DRIVERS 3D INTEGRATION TECHNOLOGY DRAM DRAM Logic Logic Memory cube Offers low delay, low power and high bandwidth interconnect. High volume applications: drives the technology platforms 6

MAIN APPLICATIONS DRIVERS 3D INTEGRATION TECHNOLOGY DRAM DRAM Logic Logic Close to market introduction but delayed with respect to original timelines: osupply chain readiness: wide I/O memory supply, unclear business models otechnology readiness and cost of ownership, CoO. Memory cube ohigh performance applications: high power: requires the use of an intermediate interposer technology = additional cost: needs to be offset by additional integration opportunities. 7

High Power Low Volume High performance Low Power High Volume Consumer 3D APPLICATION ROADMAP 2012-2014 2014 2016 2016-2018 Memory cube DRAM on Logic Heterogeneous Mixed Analog/Digital DRAM on Logic Logic/DRAM on CSP Si interposer Mixed node 3D-SOC Analog/ MEMS/Sensors Digital Logic Analog E/O Logic fabric N+1 N/N-1 Heterogeneous smart system integration Optical Module DRAM Logic DRAM Logic/ ASPs/Analog/IO DRAM Logic OIO Passive interposer Processing unit with high bandwidth electrical I/O integrated on lite - active Silicon Interposer Processing unit with optical I/O integrated on lite -active Silicon Interposer 8

OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion 3D Landscape 9

3DSIC: STACKED IC INTEGRATION High density die-die interconnect: vertical (3D) or lateral interconnect on interposer substrate ( 2.5D ) Technologies are available for successful integration Key challenges: Cost effective integration schemes Technology maturity Business models 10

3D TECHNOLOGY: FROM TSV TO STACKED PACKAGE FEOL process Via middle TSV BEOL process Wafer thinning, TSV reveal & backside processing Via last TSV 3D Stacking (D2D; D2W; W2W) Stack Packaging 11

3D TECHNOLOGY: MAIN CHALLENGES FROM TSV TO STACKED PACKAGE FEOL process Via middle TSV BEOL process Wafer thinning, TSV reveal & backside processing Via last TSV Via Middle TSV POR process at 5µm Ø x 50 µm Si thickness Reliable process at 3µm Ø x 50 µm Si thickness Potential scaling to 2µm Ø x 40 µm Si thickness Cost effective, reliable temporary thin wafer carrier system. Proposed solutions and materials still evolving Via Last TSV: low thermal budget, Si TTV, M1 contact Scaling to 5µm Ø x 50 µm Si thickness 3D Stacking (D2D; D2W; W2W) Stack Packaging Die level: Interconnect pitch scaling, assembly yield and productivity (throughput). Wafer level: Wafer-to-wafer overlay, Bonding yield Electrical interconnect method and yield. CPI : 3D stack or interposer to package stacking Thermal control 12

VIA MIDDLE Cu TSV PROCESS AFTER FEOL / BEFORE BEOL Si Si imec POR process: o 5 µm diameter; o 50 µm deep; o Aspect ratio 10 13

3D- TSV SCALING ROADMAP 10µm Ø, 100µm deep, AR 10:1 5µm Ø, 50µm deep AR 10:1 3µm Ø, 50µm deep AR 17:1 2µm Ø, 40µm deep AR 15:1 20:1 Ø AR Depth Ø AR Depth 30µm 40µm In combination with integration on advanced device nodes 14

DIE-TO-DIE OR DIE-TO-WAFER STACKING - Scaling to 20µm µbump PITCH 12.5µm 7.5µm Cu Sn Ni 20µm Cu Sn Cu pad Cu pad 12.5µm 7.5µm 15

DIRECT CU TSV-TO-CU PAD STACKING 6 die stack (20 µm pitch) - TSV Cu TSV liner 5µm Backside Passivation 20µm 16

OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion 3D Landscape 17

HIGH DENSITY 3D-INTEGRATION 3D-SOC : 3D System-on-chip, through heterogeneous integration SOC Node N Node N+1 Digital logic takes full advantage of scaling Efficient use wafer area Node N/N+1 Digital Logic, SRAM Analog functionality I/O drivers High density SRAM on logic Mixed node, heterogeneous technology Wafer-Wafer bonding High density Wafer-wafer interconnects: Pitch scaling 5µm 2 µm 1 µm 0.5µm 18

3D ARCHITECTURE EXPLORATION EXAMPLE IMEC S SOC 3MF AVC/H264 ENCODER L2I1 L2D1 L2I2 L2D2 L2I1 L2D1 AD#1 AD#2 AD#3 L2D2 AD#6 AD#5 AD#4 L2I2 AD#1 AD#2 AD#1 AD#1 AD#2 AD#3 AD#2 L1#1 AD#3 AD#3 L1#2 L1#3 L1#6 AD#6 AD#5 L1#5 AD#6 AD#6 AD#4 L1#4 AD#5 AD#5 AD#4 AD#4 3D-SIC 3D SOC / CONFIDENTIAL 19

BEOL ELECTRONIC SYSTEM INTERCONNECT WIRING HIERARCHY On-chip Wiring Hierarchy System-level wiring hierarchy Traditional view Global Inter - mediate Local FEOL ITRS 20

3D SOC W2W BONDING Both wafers have FEOL and BEOL processing Top wafer has FEOL and some BEOL processing Bottom wafer has FEOL and BEOL processing Contact pitch 5µm 1µm Minimal processing after bonding: via reveal or via last Contact pitch 2µm 0.5µm Critical processing after bonding: High AR small TSV - via to top and bottom wafer metalization One or more BEOL layers after wafer stacking 21

3D-SOC - W2W HYBRID BONDING TSV Oxide-oxide bonding Cu Cu connection 22

2 ND TIER FEOL PROCESSING POST WAFER BONDING Top wafer: only unpattern semiconductor device layer Bottom wafer has FEOL and some BEOL processing Simplified bonding process: no overlay problem Critical processing after bonding: Device fabrication second tier with very low thermal budget (with Cu/low-k BEOL tier 1 present) When connecting at fine pitch: Most of the BEOL layers to be processed after wafer stacking 23

OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion 3D Landscape 24

3D LANDSCAPE 3D-SIC 3D-SOC 3D-IC wiring level Global Semi-global Intermediate Local FEOL 2-tier stack 2 nd FEOL after stacking Multi-tier FEOL TSV Pitch 10 7 5 µm 10 7 5 µm TSV after stack TSV after stack No TSV Contact Pitch: Rel. density: Stacking Method µbump pitch: 40 20 10 5µm 1 4 16 64 Cu TSV Cu pad: 10 7 5 µm 16 33 64 D2D, D2W (W2W) Stacked die B2F / F2F 5 1 µm 64 1600 2 µm 0.5 µm 800 6400 (Overlay 2 nd tier defined by W2W alignment/bonding) W2W (D2W) Contact at bond interface F2F W2W Contact after stacking F2F 200 100 nm 4 10 4 1.6 10 5 W2W Si layer-to-wafer stacking 2 nd Tier Device fab. after stacking < 100 nm > 1.6 10 5 (Overlay 2 nd tier defined by litho scanner alignment) Monolithic Device-level stacking 25

3D LANDSCAPE 3D-SIC 3D-SOC 3D-IC wiring level Global Semi-global Intermediate Local FEOL 2-tier stack 2 nd FEOL after stacking Multi-tier FEOL TSV Pitch 10 7 5 µm 10 7 5 µm TSV after stack TSV after stack No TSV Contact Pitch: Rel. density: Stacking Method µbump pitch: 40 20 10 5µm 1 4 16 64 Cu TSV Cu pad: 10 7 5 µm 16 33 64 D2D, D2W (W2W) Stacked die B2F / F2F 5 1 µm 64 1600 2 µm 0.5 µm 800 6400 (Overlay 2 nd tier defined by W2W alignment/bonding) W2W (D2W) Contact at bond interface F2F W2W Contact after stacking F2F 200 100 nm 4 10 4 1.6 10 5 W2W Si layer-to-wafer stacking 2 nd Tier Device fab. after stacking < 100 nm > 1.6 10 5 (Overlay 2 nd tier defined by litho scanner alignment) Monolithic Device-level stacking 26

IMEC 3D SYSTEM INTEGRATION PROGRAM LOGIC IDM MEMORY IDM FOUNDRIES FABLESS 3D PROGRAM OSAT EDA MATERIAL SUPPLIERS TECHNOLOGY SUPPLIER EQUIPMENT SUPPLIERS Lam RESEARCH 27

Thanks!