Assertion Based Verification of AMBA-AHB Using System Verilog

Similar documents
AMBA 3 AHB Lite Bus Architecture

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS

iimplementation of AMBA AHB protocol for high capacity memory management using VHDL

Ref: AMBA Specification Rev. 2.0

5. On-chip Bus

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

Design And Implementation of Efficient FSM For AHB Master And Arbiter

AHB-Lite Multilayer Interconnect IP. AHB-Lite Multilayer Interconnect IP User Guide Roa Logic, All rights reserved

AMBA AHB Bus Protocol Checker

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction.

Part A. Yunfei Gu Washington University in St. Louis

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE

EECS 373 Design of Microprocessor-Based Systems

Lecture 10 Introduction to AMBA AHB

Verilog AHB Testbench User's Guide

VLSI Design of Multichannel AMBA AHB

VeriFlow Technologies India (P) Ltd

1. INTRODUCTION OF AMBA

White Paper AHB to Avalon & Avalon to AHB Bridges

Bus Interfaces and Standards. Zeljko Zilic

AHB Slave Decoder. User Guide. 12/2014 Capital Microelectronics, Inc. China

CoreAHB. Contents. Product Summary. General Description. Intended Use. Key Features. Benefits. Supported Device Families

Design and Verification of AMBA AHB- Lite protocol using Verilog HDL

Buses. Maurizio Palesi. Maurizio Palesi 1

Verification of Advanced High Speed Bus in UVM Methodology

Design of High Speed AMBA Advanced Peripheral Bus Master Data Transfer for Microcontroller

Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 ISSN

DesignCon AMBA Compliance Checking Using Static Functional Verification

Serial Peripheral Interface Design for Advanced Microcontroller Bus Architecture Based System-on- Chip

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

ISSN Vol.03, Issue.08, October-2015, Pages:

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others!

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010

Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus

BUILDING AN AMBA COMPLIANT MEMORY CONTROLLER

Pooja Kawale* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3,

Chapter 2 The AMBA SOC Platform

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL

SoC Interconnect Bus Structures

Effective Verification of ARM SoCs

System Design Kit. Cortex-M. Technical Reference Manual. Revision: r0p0. Copyright 2011 ARM. All rights reserved. ARM DDI 0479B (ID070811)

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG

CoreHPDMACtrl v2.1. Handbook

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA)

Verification of AXI Bus Protocol using SystemVerilog

EECS 373. Design of Microprocessor-Based Systems. Prabal Dutta University of Michigan. Announcements. Homework #2 Where was I last week?

August Issue Page 96 of 107 ISSN

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China

Assertions: Too good to be reserved for verification only.

AMBA Protocol for ALU

Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA

Improving Memory Access time by Building an AMBA AHB compliant Memory Controller

ISSN: [IDSTM-18] Impact Factor: 5.164

Design and Implementation of High-Performance Master/Slave Memory Controller with Microcontroller Bus Architecture

Design of AMBA Based AHB2APB Bridge

VERIFICATION OF DRIVER LOGIC USING AMBA- AXI UVM

Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design

Verification of AHB Protocol using UVM

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

Test and Verification Solutions. ARM Based SOC Design and Verification

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

CoreAHBtoAPB3 v3.1. Handbook

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

The CoreConnect Bus Architecture

Design and Coverage Driven Verification of AXI2OCP Bridge for Industrial SoC Designs

SOC Design Technique for AMBA AXI4 Using Verilog HDL

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp

A Flexible SystemC Simulator for Multiprocessor Systemson-Chip

Interprocess Communication

Design of Microprocessor-Based Systems Part II

Portable Stimulus vs Formal vs UVM A Comparative Analysis of Verification Methodologies Throughout the Life of an IP Block

Using formal techniques to Debug the AMBA System-on-Chip Bus Protocol

AXI4-Stream Verification IP v1.0

CoreConfigMaster v2.1. Handbook

Universität Dortmund. ARM Cortex-M3 Buses

SPECMAN-E TESTBENCH. Al. GROSU 1 M. CARP 2

VLSI Systems Design. Connection and Communication Models

Design & Implementation of AHB Interface for SOC Application

1 Contents. Version of EnSilica Ltd, All Rights Reserved

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc.

Novel and Robust Implementation of Register Abstraction on UVM Testbench

Chap 4 Connecting the Testbench and. Design. Interfaces Clocking blocks Program blocks The end of simulation Top level scope Assertions

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Architecture of An AHB Compliant SDRAM Memory Controller

Cortex -M System Design Kit. Arm. Technical Reference Manual. Revision: r1p1

Course Profile Assertions in UVM

Leveraging Formal Verification Throughout the Entire Design Cycle

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

Application Note. Implementing AHB Peripherals in Logic Tiles. Document number: ARM DAI 0119E Issued: January 2006 Copyright ARM Limited 2006

Tackling Verification Challenges with Interconnect Validation Tool

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Implementation and Performance analysis of SS Arbiter for. System on chip.

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

Assertion-Based Verification

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes

Transcription:

Assertion Based Verification of AMBA-AHB Using System Verilog N.Karthik M.Tech VLSI, CMR Institute of Technology, Kandlakoya Village, Medchal Road, Hyderabad, Telangana 501401. M.Gurunadha Babu Professor & HOD, CMR Institute of Technology, Kandlakoya Village, Medchal Road, Hyderabad, Telangana 501401. Ms. Muni Praveena Rela Associate Professor, CMR Institute of Technology, Kandlakoya Village, Medchal Road, Hyderabad, Telangana 501401. Abstract: Writing assertions concurrently with the RTL design and keeping these assertions closely tied to the RTL code has been found to bring significant benefits in both the design and verification processes for digital hardware. The primary benefit is that assertions help to detect more functional bugs, detect them earlier in the process and detect them closer to their original cause. A secondary benefit is that the very act of formulating and writing assertions can give the designer a better understanding of the design, and hence uncover bugs in the specification or else avoid introducing bugs into the design in the first place. This paper describes assertion based system Verilog verification environment with a robust and widely used AMBA AHB bus protocol master-slave architecture. Keywords: AMBA, AXI, Verification, System Verilog, Coverage Driven Verification, Functional Verification, Assertion, AHB, Functional Coverage. 1) Introduction: Assertions bring the possibility of increased metrication to the verification process. Assertions directly increase observability of the state of the design during verification. By measuring and controlling the density of assertions and logging assertion passes as well as failures, it is possible to bring some science to the task of knowing when functional verification is complete. This approach has following advantages. Tracks the behavioral aspects of the design under verification. ocycle accuracy checks. ohorizontal Coverage. Test-Bench Enhancements ouse of Assertions. ouse of Verification Metrics to check completeness. oindustry Standard Methodology. Simple and Robust Bus Architecture oahb - Advanced High Performance Bus Assertion-based verification (ABV) is a methodology in which designers use assertions to capture specific design intent and, either through simulation, formal verification, or emulation of these assertions, verify that the design correctly implements that intent. Assertionbased verification (ABV) is a technique that aims to speed one of the most rapidly expanding parts of the design flow. It can also be used in simulation, emulation and silicon debug.research has suggested that verification can take up 70% of the time and cost of a full design cycle and that, within that, functional verification can take up more than half of the verification time. A number of studies have concluded the use of ABV reduces functional verification dramatically compared with traditional methods. In assertion-based verification, RTL assertions are used to capture design intent in a verifiable form as the design is created, providing portable monitors that check for correct behavior. During simulation, assertions improve observability coverage, making the source of an error evident. Simulation debug time is greatly reduced. As targets for formal verification, assertions improve controllability coverage. www.ijmetmr.com Page 605

When verifying assertions, formal verification algorithms explore the equivalent of billions and billions of input patterns without requiring test vector creation. And ideally, the same assertions are used for both simulation and formal verification so there is no need to repeatedly specify the same assertions multiplied different ways for different tools. 2) Assertion flow and steps It is a 4 step iterative process. Write Verify Debug -> Check Metrics Fix Bugs This results in faster turnaround time. Benefits: Figure 1: Assertion Flow Assertions actively monitor a design or test-bench to ensure correct functional behavior. Assertions detect design errors at their source, greatly increasing observability and decreasing debug time. With assertion languages like SVA part of System- Verilog and PSL standardized, the ABV methodology today is supported by a wide array of tools and work with Verilog, SystemVerilog, and VHDL designs. The availability of assertion libraries, for example Mentor s Questa and Questa Formal Verification product both include a rich assertion library, ABV is easy to adopt. Assertions have a high ROI in that they can be used across simulation, formal verification, and even emulation. We must also consider that implementing assertions presents the following challenges. Coding and debug guidelines Flexibility of off-the-shelf asser- Customization tion Metrics Need proper and clear coding Defining and tracking the coverage A distinction must be drawn between the use of assertions and their integration within a coherent and effectively implemented methodology. 3) AMBA AHB Architecture AMBA AHB addresses the requirements of high-performance synthesizable designs. It is a bus interface that supports a single bus master and provides highbandwidth operation.ahb implements the features required for high-performance, high clock frequency systems including: Burst transfers Single-clock edge operation Non-tristate implementation Implementation Need to be consistent Wide data bus configurations viz. 64, 128, 256, 512, and 1024 bits www.ijmetmr.com Page 606

Figure 2: AHB Master-Slave Architecture The most common AHB slaves are internal memory devices, external memory interfaces, and high bandwidth peripherals. Although low-bandwidth peripherals can be included as AHB slaves, for system performance reasons they typically reside on the AMBA Advanced Peripheral Bus (APB). Bridging between this higher level of bus and APB is done using a AHB slave, known as an APB bridge.the bus interconnect logic consists of one address decoder and a slave-to-master multiplexor. 3.1 AHB Bus Structure and Signals The decoder monitors the address from the master so that the appropriate slave is selected and the multiplexor routes the corresponding slave output data back to the master. The main component types of an AHB system are: Master Slave Decoder Multiplexor Figure 3: AHB Bus Structure www.ijmetmr.com Page 607

HCLK o The clock is an input to all elements in an AHB system and is assumed to come from some external clock generator. HRESETn othis active low signal is an input to all elements in AHB. HADDR oa 32 bit bus, output from the master, which indicates the address to be used for a transfer. HSIZE othis master output indicates the size of the read or write transfer to be performed. HTRANS oan output from the master (input to slave) which shows the type of transfer to be done. HWRITE o An output from the master which shows the direction of data transfer. HBURST othe master generates this bus to tell the system about the kind of burst it is performing. HPROT o This allows the master to tell the slave characteristics of the transfer. 3.2 Verification Environment of Full AHB HWDATA othe master output which contains the data it wants to write to an address in a slave. HRDATA othe slave output (input to the master) which contains data that is read from a slave. HSELx oa set of system-specific decoder outputs, generated combinatorially from the decoder s HADDR input HRESP oan output from the slave (input to the master) which indicates whether the transfer was successful HREADY othis is an output from each slave which shows when the transfer is completed. HLOCK/HMASTLOCK o Indicates a locked transfer. HMASTER oan arbiter output, in a full AHB system, showing the number of the currently selected master. HSPLIT oan output from split-capable slaves, to show the arbiter which masters are currently waiting a response from that Figure 4: AHB Verification Environment www.ijmetmr.com Page 608

3.3 Verification Environment Components: Verification Plan ocontains list of all features and test-cases to verify them. Assertion Matrix ocontains all the assertions definition which are to be implemented. Each assertion captures specific design intent or a design check. Test Plan oit captures the coverage points to be hit and the metrics for coverage closure. AHB Master Agent othis contains the Master behavior as to how to drive the signals on the DUT as per the design protocol. Assertions Monitor othe module which implements all the assertions defined in the Assertion Matrix. AHB Scoreboard othe class which compares the expected and actual DUT responses and validates the system behavour. Tests othe SV class instantiates the verification environment and initiates the stimulus on the design. Sequences othe actual stimulus given to the DUT, which wiggles the design pins. Tools Used: Modelsim Questasim 3.4 Results: AHB Write Waveform: An AHB Transfer consists of 2 Phases. Address Phase o 1 single HCLK cycle. Data Phase o Several HCLK cycles. Direction of Data Transfer is controlled by HWRITE 1 -- Write 0 -- Read HREADY indicates whether slave can accept the transfer or not The simplest transfer is one with no wait states, so the transfer consists of one address cycle and one data cycle. In this case: The master drives the address and control signals onto the bus after the rising edge of HCLK. The slave then samples the address and control information on the next rising edge of HCLK. After the slave has sampled the address and control it can start to drive the appropriate HREADY response. This response is sampled by the master on the third rising edge of HCLK. www.ijmetmr.com Page 609

AHB Write Followed by Read: If we have wait cycles slave pulls HREADY low until it is ready to receive again.for write operations the master holds the data stable throughout the extended cycles. AHB Read Waveform: For read transfers the slave does not have to provide valid data until the transfer is about to complete. When a transfer is extended in this way it has the side-effect of extending the address phase of the next transfer. Assertion: Here we have the results for a write followed by read transaction indicated by HWRITE being 1 and then transitioning to 0. 4) CONCLUSIONS: Assertions can be used to capture the information about various levels such as conceptual where we can verify system level properties which are more architectural level and also at design level where unit level properties are expressed. Deploying assertions has several advantages which can be summarized as below: Improving Observability. Reduces the debug time. Bugs can be found earlier and are more isolated. Controllable severity level. Can interact with C functions. Describe the Documentation and Specification of the design. Coverage information tells us where we are in terms of project completeness. 5) FUTURE SCOPE: AMBA AHB also can provide parallel communications with multi master bus management, high clock frequency, high performance systems for data transfer operation from the memory interfaced with the master or slave peripheral devices.amba AHB can support on chip communications standard for designing highperformance embedded microcontrollers. AHB can employ multilayer advanced high-performance bus matrix which has slave-side arbitration. Slave-side arbitration is different from master-side arbitration in terms of request and grant signals since, in the former, the master merely starts a burst transaction and waits for the slave response to proceed to the next transfer. Therefore, in the former, the unit of arbitration can be a transaction or a transfer. However, the bus matrix of ARM offers only transfer- based fixed-priority and round-robin arbitration schemes. SV Assertions can be used to increase the visibility within assertion circuits, and also enhance the coverage information provided by the checkers. Other forms of debug information, such as signal dependencies, can also be sent to the front-end applications. www.ijmetmr.com Page 610

6) References: [1] Assertion-Based Verification using SystemVerilog - Verilab www.verilab.com/files/svug_2007_abv_litterick.pdf [2] SOC Bus Transaction Verification Using AMBA... - JSTS [3] www.jsts.org/html/journal/journal_files/.../6/2020_ vol2_no2_132.pdf [4] http://www.cadence.com/products/fv/pages/abv_ flow.aspx [5]http://www.techdesignforums.com/practice/ guides/guide-to-assertion-based-verification/ [6] https://verificationacademy.com/courses/assertionbased-verification [7]http://www.mentor.com/products/fv/methodologies/abv/ www.ijmetmr.com Page 611