Introduction to the Qsys System Integration Tool

Similar documents
Designing with ALTERA SoC Hardware

Advanced ALTERA FPGA Design

Designing with Nios II Processor for Hardware Engineers

Designing with ALTERA SoC

Creating PCI Express Links in Intel FPGAs

Qsys and IP Core Integration

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

2. Learn how to use Bus Functional Models (BFM) and write test cases for verifying your design.

FPGA for Software Engineers

Creating a System With Qsys

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software

Quartus II Prime Foundation

System Debugging Tools Overview

Design of Embedded Hardware and Firmware

2.5G Reed-Solomon II MegaCore Function Reference Design

9. Building Memory Subsystems Using SOPC Builder

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Building Interfaces with Arria 10 High-Speed Transceivers

Altera JTAG-to-Avalon-MM Tutorial

Creating a System With Qsys

DDR and DDR2 SDRAM Controller Compiler User Guide

Embedded Systems. "System On Programmable Chip" NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs.

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide

9. PIO Core. Core Overview. Functional Description

Embedded Design Handbook

Non-Volatile Configuration Scheme for the Stratix II EP2S60 DSP Development Board

Cornell Cup Tutorials

Laboratory Exercise 5

Digital Systems Design

Arria 10 JESD204B IP Core Design Example User Guide

10. SOPC Builder Component Development Walkthrough

Intel Arria 10 and Intel Cyclone 10 Avalon -MM Interface for PCIe* Design Example User Guide

Designing Embedded Processors in FPGAs

ALTERA FPGAs Architecture & Design

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

Copyright 2014 Xilinx

2. System Interconnect Fabric for Memory-Mapped Interfaces

MICROTRONIX AVALON MULTI-PORT FRONT END IP CORE

April 2002, Version 1.1. Component. PTF File. Component. Component GUI Wizards. Generation. System PTF file Files and Libraries.

SISTEMI EMBEDDED AA 2013/2014

AN 812: Qsys Pro System Design Tutorial

NIOS CPU Based Embedded Computer System on Programmable Chip

25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

Test and Verification Solutions. ARM Based SOC Design and Verification

9. Verification and Board Bring-Up

Section III. Transport and Communication

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

Digital Systems Design. System on a Programmable Chip

Getting Started With the Nios II DPX Datapath Processor ---Version

Implement a PCIe endpoint using Qsys System Integration Tool

VHDL for Synthesis. Course Description. Course Duration. Goals

4K Format Conversion Reference Design

HyperBus Memory Controller (HBMC) Tutorial

9. Functional Description Example Designs

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

HyperBus Memory Controller (HBMC) Tutorial

Low Latency 40G Ethernet Example Design User Guide

Low Latency 100G Ethernet Design Example User Guide

Interlaken IP Core (2nd Generation) Design Example User Guide

3-D Accelerator on Chip

Intel Arria 10 and Intel Cyclone 10 Avalon-ST Hard IP for PCIe* Design Example User Guide

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Instantiating the Core in SOPC Builder on page 2 2 Device Support on page 2 3 Software Programming Model on page 2 3

Section II. Peripheral Support

4. SOPC Builder Components

AMBA PCI Bridge Quick Start

DE2 Board & Quartus II Software

FPGA chip verification using UVM

White Paper AHB to Avalon & Avalon to AHB Bridges

«Real Time Embedded systems» Multi Masters Systems

1. SDRAM Controller Core

Simulating Nios II Embedded Processor Designs

JESD204B Intel Cyclone 10 GX FPGA IP Design Example User Guide

Customizable Flash Programmer User Guide

H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

Avalon Interface Specifications

2. SDRAM Controller Core

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example

NIOS CPU Based Embedded Computer System on Programmable Chip

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

ALTERA FPGA Design Using Verilog

ECE332, Week 2, Lecture 3. September 5, 2007

ECE332, Week 2, Lecture 3

Debugging Transceiver Links

Verilog for High Performance

Functional Safety Clock Checker Diagnostic IP Core

Microtronix Avalon I 2 C

Turbo Encoder Co-processor Reference Design

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics

Cyclone V SoCs. Automotive Safety Manual. 101 Innovation Drive San Jose, CA MNL Subscribe Send Feedback

HyperBus Memory Controller (HBMC) Tutorial

Hardware Design of a Flight Control Computer System based on Multi-core Digital Signal Processor and Field Programmable Gate Array

24K FFT for 3GPP LTE RACH Detection

PCI Express Multi-Channel DMA Interface

The Nios II Family of Configurable Soft-core Processors

Transcription:

Introduction to the Qsys System Integration Tool Course Description This course will teach you how to quickly build designs for Altera FPGAs using Altera s Qsys system-level integration tool. You will become proficient with Qsys and expand your knowledge of the Quartus II FPGA design software. You will learn how to quickly integrate IP and custom logic into a system. Since Qsys makes design reuse easy through standard interfaces, we will examine the Altera Avalon-Memory Mapped and Streaming Interfaces as well as introduce the AMBA AXI interface standard from ARM. The class provides a significant hands-on component, where you will gain exposure to tool usage as well as system and custom HDL component design. Course Duration 3 days

Goals 1. Build digital systems in the Qsys tool 2. Integrate the files generated by Qsys into the Quartus II design flow 3. Create custom components with Avalon-MM and Avalon-ST interfaces and integrate them into your system 4. Test custom components and entire systems with the Avalon Verification Suite in the Modelsim-Altera 5. Perform in-system control & debugging with System Console 6. Optimize systems to maximize performance and help close timing 7. Customize components using Tcl 8. Exploit Qsys hierarchical capability to add flexibility & scalability to your design Intended Users FPGA engineers who would like to use Qsys to build complex systems on chip Previous Knowledge ALTERA FPGAs architecture Quartus II software ModelSim VHDL/Verilog Course Material 1. Simulator: Modelsim 2. Synthesizer and Place & Route: Quartus II 3. Course book (including labs)

Table of Contents Day #1 Introduction to the Qsys System Integration Tool What is Qsys? o Traditional system design o Automatic interconnect generation o Qsys benefits o Target Qsys applications o Qsys vs SOPC Builder o SOPC Builder systems in Qsys Qsys UI o Component library o System contents o System inspector o Address map o Clock settings o Project settings o Generation o HDL example o Messages o Other useful Qsys commands Using Qsys in FPGA Design Flow o FPGA hardware design flow o Additional Qsys verification support o Qsys system generation Qsys Files o Qsys source files o Qsys output files Lab #1: Introduction to Qsys Design Flow Introduction to Qsys Interconnect o Qsys interconnect architecture o Qsys supported standard interfaces (Avalon, AXI) o Qsys interconnect implementation o Arbitration priority o Enables simultaneous multi-mastering o Qsys memory-mapped packet format o Packetized interconnect vs latency o NoC architecture

o Master network interface o Slave network interface o Pipelining Using Qsys IP o Qsys standard interfaces for IP Clock Reset Avalon-ST Avalon-MM Avalon-C Avalon-TC AXI o Qsys IP Component library parameter editors Basic components Streaming components Memory components Tristate components Bridge components High-speed interface components Processor components Lab #2: Using Qsys IP

Day #2 Custom Components & Processor Interfaces Creating Custom Components o Custom components interconnect o Example custom components o Component editor Processor Interfaces o Interfacing FPGA with external processors o Memory mapped interface o High-speed serial interfaces o Good use of SPI/Avalon master bridge o Using the NIOS II processor o Using the SoC devices Lab #3: Build a Data Path Hardware System in Qsys that Incorporates Custom Logic Lab #4: Add Control Plane to the Design and Test the System System Integration with Qsys o Avalon verification suite o Application Programming Interface (API) o Avalon verification suite testbench o Clock source BFM o Reset source BFM o Avalon-MM BFMs & API o Avalon-ST BFMs & API o Avalon-MM/ST monitors, testbenches and API o AXI verification IP o Component verification system o System verification Lab #5: Testing Custom Components with BFMs Lab #6: Testing the System with BFMs

Day #3 System Console, Custom Components, and Hierarchy with Qsys System Verification with System Console o What is System Console? o Usage examples o System Console interfaces o System Console GUI launch o Command line interface o Interactive usage tips System Console services o System Console usage flow o Qsys components for System Console o Linking sevice instances with Quartus II project o Linking Quartus project using Tcl script o JTAG debug commands o master service type o monitor service type o bytestream service type o Dashboards Lab #7: Testing the System with System Console Utilizing Hierarchy in Qsys Designs o Hierarchy in the Quartus II software o Hierarchy in Qsys o Scalable Qsys systems with hierarchy o Adding subsystems to component library o Add subsystems to system o Connecting systems together o Ways to create subsystems o Making changes to a subsystem o Bridging systems together with Avalon-MM pipeline bridges o Subsystem masters o Subsystem clocking o Subsystem parameterization o Tcl commands used in instance script Lab #8: Qsys Hierarchy: Expand System to support four parallel video processing channels Lab #9: Qsys Hierarchy: Run four-channel system under Nios II software control