ASIC Physical Design Top-Level Chip Layout

Similar documents
An overview of standard cell based digital VLSI design

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

UNIVERSITY OF WATERLOO

Chip Core. Final Assembly. Core Sizes. Connecting Core to Pads. Core. Pad Ring The connection to the outside world

Tutorial 2 Automatic Placement & Routing

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm

EE 330 Laboratory Experiment Number 11

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

Cadence On-Line Document

Final Assembly. Chip Core

An Overview of Standard Cell Based Digital VLSI Design

AMchip architecture & design

CMOS VLSI Design Lab 4: Full Chip Assembly

Programmable CMOS LVDS Transmitter/Receiver

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

Physical Placement with Cadence SoCEncounter 7.1

Spiral 2-8. Cell Layout

EECS 627, Lab Assignment 3

Instruction on padframe

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Pad Ring and Floor Planning

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Lecture Content. 1 Adam Teman, 2018

Tutorial for Cadence SOC Encounter Place & Route

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim

Lab 2. Standard Cell layout.

EE582 Physical Design Automation of VLSI Circuits and Systems

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

The Design of the KiloCore Chip

Introduction to laboratory exercises in Digital IC Design.

C Allegro Package Designer Flows

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

DRC and LVS checks using Cadence Virtuoso Version 3.0

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Tutorial for Encounter

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0.

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3

DRC and LVS checks using Cadence Virtuoso Version 2.0

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

The IIT standard cell library Version 2.1

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS:

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #3, Standard cell design flow (from schematic to layout, 8-bit accumulator)

Comprehensive Place-and-Route Platform Olympus-SoC

CMOS VLSI Design. Final Project

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS

Expert Layout Editor. Technical Description

L14 - Placement and Routing

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Case study of Mixed Signal Design Flow

Testbench Template. The Big Picture

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

AMIS CDNLive Paper: A DFII Based Place and Route Interface

Place & Route: Using Silicon Ensemble

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Concurrent, OA-based Mixed-signal Implementation

Process technology and introduction to physical

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

Design is neither a form alone or function alone, it is aesthetic synthesis of the both. Ferdinand Porsche

FABRICATION TECHNOLOGIES

Virtuoso Layout Editor

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

Chapter 5 Global Routing

On GPU Bus Power Reduction with 3D IC Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies

Physical Implementation

Virtuoso Schematic Composer

Design of a Low Density Parity Check Iterative Decoder

CADENCE SETUP. ECE4430-Analog IC Design

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

PrimeTime: Introduction to Static Timing Analysis Workshop

Digital IC- Project 1. Place and Route. Oskar Andersson. Oskar Andersson, EIT, LTH, Digital IC project and Verifica=on

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #3, Standard cell design flow (from schematic to layout, 8-bit accumulator)

File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

Xilinx SSI Technology Concept to Silicon Development Overview

A Design Tradeoff Study with Monolithic 3D Integration

CMOS VLSI Design Lab 2: Datapath Design and Verification

ProASIC PLUS FPGA Family

Design rule illustrations for the AMI C5N process can be found at:

Virtuoso Layout Suite XL

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

Introduction to Innovus

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

Transcription:

ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual

Top-level IC design process Typically done before individual circuit block layouts Top-level netlists usually created before any layout Create top-level schematic Components are functional blocks and I/O pads Blocks include IP and user-created modules Create a chip floor plan from the schematic Place functional blocks and I/O pads Connections shown as overflows Route top-level connections (automatic or interactive) Eliminate overflows, DRC errors, shorts Create layouts of user-designed modules

Chip floorplan I/O pads

Modulo-7 counter in pad frame

MOSIS SCMOS Pad Library Includes 6 pad types: Input & output pads with buffers VDD & GND pads with ESD Analog IO pad with ESD Analog reference pad with ESD Assemble into a frame in which pads butt against each other Allows VDD & GND wires to form a continuous ring Special spacer and corner pads complete the ring ADK tools will generate a pad frame from a schematic

MOSIS TSMC 0.35um Hi-ESD Pad Frame (l) lambda=0.30um 16 17 18 19 20 21 22 23 24 25 15 14 13 12 11 10 9 8 7 6 Tiny Chip Pin # s 5 4 3 2 1 40 39 38 37 36 26 27 28 29 30 31 32 33 34 35

MOSIS TSMC 0.35um Hi-ESD Pad Frame Physical layout VDD/GND wires form continuous ring through the pad frame Spacer pad if no signal Corner pad (passes VDD/GND)

MOSIS I/O Pad Schematic Output enable Bonding Pad Inputs to logic ckts Outputs from logic ckts

Simplified pad circuit

ADK I/O Pad Schematic (Configured as output pad)

MOSIS 1.6 um bidirectional pad Source: Weste, CMOS VLSI Design

ASIC frame + core in Virtuoso

Top-level bottom-up design process Generate block layouts and for each block: Import the GDSII stream into a Virtuoso library Import the Verilog netlist into the library Perform DRC and LVS on each block until clean Create a schematic symbol from the netlist in the library Create a block diagram/schematic in Virtuoso Composer Create a top-level block library and create a schematic view Instantiate schematic symbols from the library Interconnect with nets and add pins Check and save Create a layout from the schematic diagram

Top-level block schematic in Composer

Before module and I/O placement Blocks initially outside boundary

After placing modules

Power routing between blocks

Nets shown as overflows

Routed circuit block

Block symbol (to connect to I/O pads)

Pad frame with signal wires

Zoomed view of pad frame

Schematic: block + pad frame

Placement of frame and core

Power/ground routed manually

Before signal routing

After routing final layout

Floorplanning (Text chap. 15, 16) Floorplanning: arrange major blocks prior to detailed layout to optimize chip area input is a netlist of circuit blocks (hierarchical) after system partitioning into multiple ICs estimate layout areas, shapes, etc. Flexible blocks shape can be changed Fixed block shape/size fixed do initial placement of blocks (keep highly-connected blocks close) decide location of I/O pads, power, clock

Heavy congestion below B Floorplan a cell-based IC (Fig. 16.6) - may have to fit into die cavity in a package Initial random floorplan Blocks moved to improve floorplan Reduced congestion after changes

Congestion analysis (Fig. 16.7) Initial 2:1.5 die aspect ratio Altered to 1:1 aspect ratio Trial floorplans A & B resized to reduce congestion Channel density Congestion map Change A & C to reduce congestion

Routing a T junction Preferred Constraining

Define channel routing order Make cuts (slice in two) to separate blocks Slicing tree, corresponding to sequence of cuts, determines routing order for channels - route in inverse order of cuts

Non-slicing structure Cyclic constraint prevents channel routing Cannot find slicing floorplan without increasing chip area Slicing floorplan possible, but inefficient in use of chip area

Power distribution Uses special power pads, wires, routing Option a: m1 for VSS m2 for VDD --------- Potential problems in routing channel Option b: m1 parallel to longest side --------------- Easier routing but more vias Many layer changes/vias if VDD/VSS on different layers Array of via contacts for VDD/VSS Buses.

Often use clock tree structure Clock distribution (minimize skew)