Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Similar documents
Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

+1 (479)

Magnetic core memory (1951) cm 2 ( bit)

Very Large Scale Integration (VLSI)

EE141-Fall 2007 Digital Integrated Circuits. ROM and Flash. Announcements. Read-Only Memory Cells. Class Material. Semiconductor Memory Classification

ECE321 Electronics I

Semiconductor Memory Classification

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

CENG 4480 L09 Memory 2

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman.

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Integrated Circuits & Systems

Based on slides/material by. Topic 7-4. Memory and Array Circuits. Outline. Semiconductor Memory Classification

! Serial Access Memories. ! Multiported SRAM ! 5T SRAM ! DRAM. ! Shift registers store and delay data. ! Simple design: cascade of registers

Lecture 11: MOS Memory

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Introduction to SRAM. Jasur Hanbaba

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

MEMORIES. Memories. EEC 116, B. Baas 3

SRAM. Introduction. Digital IC

Introduction to CMOS VLSI Design Lecture 13: SRAM

Digital Integrated Circuits Lecture 13: SRAM

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Introduction to CMOS VLSI Design. Semiconductor Memory Harris and Weste, Chapter October 2018

Column decoder using PTL for memory

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals

Digital Systems. Semiconductor memories. Departamentul de Bazele Electronicii

A Single Ended SRAM cell with reduced Average Power and Delay

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY

Memory in Digital Systems

ENEE 359a Digital VLSI Design

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

Memory and Programmable Logic

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

CENG 4480 L09 Memory 3

Memory Classification revisited. Slide 3

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

Memory in Digital Systems

Implementation of DRAM Cell Using Transmission Gate

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

Embedded System Application

Views of Memory. Real machines have limited amounts of memory. Programmer doesn t want to be bothered. 640KB? A few GB? (This laptop = 2GB)

MTJ-Based Nonvolatile Logic-in-Memory Architecture

CMOS Logic Circuit Design Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計

The Memory Hierarchy. Daniel Sanchez Computer Science & Artificial Intelligence Lab M.I.T. April 3, 2018 L13-1

A Low Power SRAM Base on Novel Word-Line Decoding

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Embedded Memory Alternatives

CSE502: Computer Architecture CSE 502: Computer Architecture

ENEE 759H, Spring 2005 Memory Systems: Architecture and

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

Optimizing Standby

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

CHAPTER 8. Array Subsystems. VLSI Design. Chih-Cheng Hsieh

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

ECE 152 Introduction to Computer Architecture

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta *

Memory in Digital Systems

Advanced 1 Transistor DRAM Cells

Low Power SRAM Design with Reduced Read/Write Time

memories The world of Memories DEEP SUBMICRON CMOS DESIGN 10. Memories

SRAM MEMORY ARCHITECTURE. Student Name: Purnima Singh Roll Number :

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

250nm Technology Based Low Power SRAM Memory

Deep Sub-Micron Cache Design

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

1073 P a g e 2. LITERATURE REVIEW OF DIFFERENT SRAM CELLS

Lecture 20: CAMs, ROMs, PLAs

A Novel Architecture of SRAM Cell Using Single Bit-Line

Energy-Efficient Cache Memories using a Dual-V t 4T SRAM Cell with Read-Assist Techniques

Design and Characterization of an Embedded ASIC DRAM

Survey on Stability of Low Power SRAM Bit Cells

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4)

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE

8.3.4 The Four-Transistor (4-T) Cell

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

Unleashing the Power of Embedded DRAM

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

A Comparative Study of Power Efficient SRAM Designs

Design of 6-T SRAM Cell for enhanced read/write margin

DESIGN AND READ STABILITYANALYSIS OF 8T SCHMITT TRIGGER BASED SRAM

READ STABILITY ANALYSIS OF LOW VOLTAGE SCHMITT TRIGGER BASED SRAM

Transcription:

Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1

Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM 3 Read-Write Memories (RWM) Basic storage elements of semiconductor memory RAM SRAM DRAM SRAM: cell has gain, 6T, FAST, LOW POWER, logic compatible, differential DRAM: cell has no gain, 1T, refresh, slow, DRAM process, single ended, DENSE 4 2

Memory Scaling Trend Itoh, IBM R&D, 2003 High density is the primary design goal for memories Low voltage operation is essential for low power 5 Memory Scaling Trend Long retention time low Ioff High Vt is required Fast access time high Ion High Vgs-Vt is required Vdd cannot be scaled down aggressively for low power consumption Itoh, IBM R&D, 2003 6 3

Why SRAMs are Important Cache Core Logic 0.18μm Cache Core Logic 0.13μm Core Logic Cache 0.09μm Memories have better power efficiency compared to logic ~9.9B out of 10B transistors will be used for SRAMs Company with better SRAM design will dominate 7 σ V t Why SRAMs are Important 1 Area = Taur, Ning 1 WL Normalize ed I ON 1.4 1.2 1.0 0.8 0.6 0.4 NMOS PMOS 0.01 0.1 1 10 100 Normalized I OFF Area is the number one concern minimum sized devices Smaller devices have larger variation Delay variation, stability, leakage is a problem Central limit theorem doesn t hold (σ/μ) 2X 100X 150nm, 110 C 8 4

Positive Feedback: Bi-Stability V i1 V o1 =V i2 V o2 V o1 1 V o1 V i2 V i 2 5Vo1 V o2 =V i1 V i1 V o2 1 5 V o1 V i 2 V i2 = V o1 A C B V i1 =V o2 9 Meta-Stability V o1 A V o1 A V i2 = V i2 = C C B B δ V i1 = V o2 δ V i1 = V o2 Gain should be larger than 1 in the transition region 10 5

WL SRAM Memory Cell 0 1 BL BLB NMOS access transistors Read and write uses the same port: need sufficient margins One wordline to access cell Two bit lines (BL, BLB) to carry the data Almost minimum size transistors for small cell area 11 SRAM Read Operation WL 1 0 1 1 1 BL BLB Both bit lines are precharged to Vdd Wordline is fired for one of the cells on bit line Cell pulls down either BL or BLB Sense amp regenerates the differential signal Data should not flip after read access Driver TR must be stronger than access TR 12 6

SRAM Read Operation Murmann class notes For high density, large number of cells share bitline and wordline Subarray organization for 32Kb: 128 WL s, 256BL s 13 SRAM Read Operation WL bitline dl delay = C bitlineδv bitline I cell BL BLB 50mV SA out C bitline is large due to large number of cells attached I cell is small due to high density cells V bitline has to be minimized for high speed < 100mV bitline voltage difference generated by SRAM cell Let the sense amplifier finish the job Increased noise sensitivity, circuit complexity 14 7

SRAM Read Operation: Precharge 15 SRAM Read Operation: Precharge Option (a) Similar to dynamic logic precharge Balance transistor to equalize bitline voltages Short wordline pulse required to limit bitline swing Option (b) Pseudo-NMOS type circuit Bitline voltage clamped during read Option (c) NMOS pullup instead of PMOS Precharge levels are limited to V dd -V t Can t operate at low V dd 16 8

SRAM Cell Read Margin V dd V dd V dd V dd 0 Vx When cell is not accessed (WL=0) Data is safely kept inside the cell High noise margin When cell is accessed (WL=V dd ) Access transistor acts as a noise source Data 0 is pulled up to V x Cell data can flip if V x rises above V tn 17 Static Noise Margin 1 0.8 V DD V DD V Q V QB V DD V(QB) 0.6 0.4 0.2 1 0.8 Good SNM 0 0 0.2 0.4 0.6 0.8 1 V(Q) E. Seevinck, 1987, JSSC Destructive read problem The size of the largest square enclosed in the butterfly curves = read static noise margin (QB) V( 0.6 0.4 0.2 0 Bad SNM 0 0.2 0.4 0.6 0.8 1 V(Q) 18 9

CMOS SRAM Analysis (Read) WL BL M 4 Q = 0 M 5 Q = 1 M 6 BL V DD M 1 V DD V DD C bit C bit 19 Techniques to Improve Read Margin Cell beta ratio = (W/L) drv / (W/L) access J. Rabaey Increasing the size of the driver NMOS improves read margin But remember, area is the number one constraint in memory design Increasing cell size a not a good trade off 20 10

Techniques to Improve Read Margin High V t transistors Internal node on low side needs to rise to V t or more Virtually never happens when V t is larger than half V dd Cell is extremely stable at ultra-low power design point Beta ratio constraint is relaxed smaller driver and larger access TR can be used for faster read and write SNM low V t SNM high V t 21 Techniques to Improve Read Margin Boosted cell supply Supply voltage of SRAM cell is higher h than outside Makes driver stronger than access, suppressing the rise in the low side Effectively improves the beta ratio Driver NMOS can be downsized, decreasing cell size V dd V dd V dd + V dd 0 V dd 22 11

WL SRAM Write Operation 1 0 1 1 BL 0 BLB Launch the write data on BL and BLB Word line signal is fired Low bit line value flips cell data Access TR must be stronger than PMOS load 23 CMOS SRAM Analysis (Write) WL V DD M 4 Q = 0 M 6 M 5 Q = 1 PR= ( W / L) ( 4 W /LL ) 6 M 1 V DD BL = 1 BL = 0 24 12

SRAM Cell Write Margin V dd J. Rabaey 0 V dd V dd 0 0 V dd = (W/L) pmos / (W/L) access Access transistor must be stronger than PMOS to pull the below the trip point (typical pull-up ratio ~ 1.5) To avoid cell size increase, correct pull-up ratio achieved by controlling V tn and V tp 25 Techniques to Improve Write Margin Sizing: access TR vs. PMOS in latch Higher WL voltage for access TR Virtual VDD Higher voltage Sizing 26 13

6T-SRAM Layout Until 90nm BL BLB V DD GND WL Compact cell Bitlines: M2 Wordline: strapped in M3 27 6T-SRAM Layout From 65nm 28 14

6T versus 4T SRAM 6T SRAM Cell Supply current is limited to the leakage current of transistors in the stable state 4T SRAM Cell High degree of compactness High power consumption 29 RAM Variations Many variations to the basic 6T SRAM cell More functionality, smaller cells Dual read or single write cell True multi-ported cell Content addressable memory (CAM) 4T memory cell 3T memory cell 2T memory cell 1T DRAM cell 30 15

Dual Read or Single Write Cell WL0 WL1 BL BLB Two wordlines, one for each access transistor Small increase in cell size Can either read two different cells in one cycle or write to one cell 31 Multi Ported Cell WL0 WL1 BL1 BL0 BLB0 BLB1 Each port has separate address Memory access bandwidth is twice (ideally) Write through : data written can be read by another port in the very same cycle 32 16

Content Addressable Memory (CAM) Some application need to find out if anything in the memory matches a certain key value (e.g. tag) Special memory with XOR gate that compares cell value with the data on the bilines Precharged match line shared across word will stay high only when the entire word matches Needs a encoder that will output the matching address 33 Smaller RAM Cells Internal nodes don t go to Need 2 wordlines, read WL Vdd and write WL Cell won t work at low Vdd Can have 1 or 2 bitlines High value stored is (Read/Write) degraded Not very small, since it has Effective strength of NMOS more wires driver is reduced Refresh needed 34 17

WL BL 1-T DRAM Cell WL Write 1 Read 1 M 1 C S X GND V DD 2 V T V DD BL V DD /2 V sensing DD /2 C BL Write: C S is charged or discharged by asserting WL and BL. Read: Charge redistribution takes places between bit line and storage capacitance C S ΔV = VBL V PRE = V BIT V PRE ------------ C S + C BL Voltage swing is small; typically around 250 mv. 35 DRAM Cell Observations 1T DRAM requires a sense amplifier for each bit line, due to charge redistribution read-out. DRAM memory cells are single ended in contrast to SRAM cells. The read-out of the 1T DRAM cell is destructive; read and refresh operations are necessary for correct operation. When writing a 1 into a DRAM cell, a threshold voltage is lost. This charge loss can be circumvented by bootstrapping the word lines to a higher value than V DD 36 18

Sense Amp Operation V BL V(1) V PRE DV(1) Sense amp activated Word line activated V(0) t 37 1-T DRAM Cell Capacitor Metal word line Poly n + n + Inversion layer Poly induced by plate bias Cross-section SiO 2 Field Oxide Diffused bit line Polysilicon gate Layout Polysilicon plate M 1 word line Uses Polysilicon-Diffusion Capacitance Expensive in Area 38 19

Advanced 1-T DRAM Cells Word line Insulating Layer Cell plate Capacitor dielectric layer Cell Plate Si Capacitor Insulator Refilling Poly Transfer gate Isolation Storage electrode Storage Node Poly 2nd Field Oxide Si Substrate Trench Cell Stacked-capacitor Cell 39 Good References on RAM K. Itoh, VLSI Memory Chip Design, Springer-Verlag New York, LLC Y. Nakagome, M. Horiguchi, T. Kawahara, and K. Itoh, Review and future prospects of low-voltage RAM circuits, Vol. 47, No. 5/6, 2003, IBM J R&D R. W. Mann, W. W. Abadeer, M. J. Breitwisch, O. Bula, et al, Ultralow-power SRAM technology, Vol. 47, No. 5/6, 2003, IBM J R&D 40 20