Introduction to CMOS VLSI Design (E158) Project 2 Spring 2008

Similar documents
The Microprocessor as a Microcosm:

CMOS VLSI Design. Final Project

An overview of standard cell based digital VLSI design

File: 'ReportV37P-CT89533DanSuo.doc' CMPEN 411, Spring 2013, Homework Project 9 chip, 'Tiny Chip' fabricated through MOSIS program

1. Designing a 64-word Content Addressable Memory Background

An Overview of Standard Cell Based Digital VLSI Design

ECE410 Design Project Spring 2013 Design and Characterization of a CMOS 8-bit pipelined Microprocessor Data Path

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

FABRICATION TECHNOLOGIES

Mudd ][ Microprocessor E158 Chip Report. Spring 2008

ECE 459/559 Secure & Trustworthy Computer Hardware Design

Columbia Univerity Department of Electrical Engineering Fall, 2004

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

DESIGN STRATEGIES & TOOLS UTILIZED

Spiral 2-8. Cell Layout

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

HOMEWORK 7 CMPEN 411 Due: 3/22/ :30pm

Introduction to ICs and Transistor Fundamentals

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm

DRAM Fab partnership for Intelligent RAM (IRAM)

EE 434 ASIC & Digital Systems

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

CAD4 The ALU Fall 2009 Assignment. Description

Introduction to Digital Logic Missouri S&T University CPE 2210 Hardware Implementations

CMOS VLSI Design Lab 2: Datapath Design and Verification

CS310 Embedded Computer Systems. Maeng

VERY LOW POWER MICROPROCESSOR CELL

VLSI Chip Design Project TSEK06

EE 330 Spring Laboratory 2: Basic Boolean Circuits

Design rule illustrations for the AMI C5N process can be found at:

3. Implementing Logic in CMOS

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Do we need more chips (ASICs)?

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164

EE-382M VLSI II. Early Design Planning: Front End

UNIVERSITY OF WATERLOO

6.375 Complex Digital System Spring 2006

Introduction to laboratory exercises in Digital IC Design.


Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

EEC 116 Fall 2011 Lab #3: Digital Simulation Tutorial

UNIT 6 CIRCUIT DESIGN

CMOS VLSI Design Lab 4: Full Chip Assembly

Academic Course Description. VL2001 Digital System Design using Verilog First Semester, (Odd semester)

FPGA Power Management and Modeling Techniques

THE latest generation of microprocessors uses a combination

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Introduction to CMOS VLSI Design (E158) Lab 4: Controller Design

Frequency Generator for Pentium Based Systems

Institute for Applied Information Processing and Communications VLSI Group Professor Horst Cerjak, Martin Feldhofer KU01_assignment

Low Power PLAs. Reginaldo Tavares, Michel Berkelaar, Jochen Jess. Information and Communication Systems Section, Eindhoven University of Technology,

An Efficient Designing of I2C Bus Controller Using Verilog

Background. memcellsf09. Single- and Double-port SRAM building blocks. w Allen Tanner built an SRAM/ROM generator program back in 2004

- create new schematic to the new project, PCB design begins with a schematic diagram, which present how components are connected

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

Prototype of SRAM by Sergey Kononov, et al.

Pad Ring and Floor Planning

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

! Design Methodologies. " Hierarchy, Modularity, Regularity, Locality. ! Implementation Methodologies. " Custom, Semi-Custom (cell-based, array-based)

23. Digital Baseband Design

VLSI AppNote: VSx053 Simple DSP Board

EE 330 Laboratory 3 Layout, DRC, and LVS

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Chapter 4 Implementation of a Test Circuit

190-MHz CMOS 4-Kbyte Pipelined Caches

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts)

CMPEN411 Memory Chip Design Project Report

Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm

Design Methodologies. Full-Custom Design

Introduction to CMOS VLSI Design (E158) Lecture 7: Synthesis and Floorplanning

ASIC, Customer-Owned Tooling, and Processor Design

Design Methodologies and Tools. Full-Custom Design

VLSI Design Automation. Maurizio Palesi

CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN FALL 2005

Programmable CMOS LVDS Transmitter/Receiver

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

E 4.20 Introduction to Digital Integrated Circuit Design

Standard Cell Library Design and Characterization using 45nm technology

CS755 CAD TOOL TUTORIAL

ECE 555 DESIGN PROJECT Phase 2

CMOS Process Flow. Layout CAD Tools

Digital Electronics. CHAPTER THIRTY TWO. Semiconductor Read-Only Memories

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

EE141- Spring 2002 Introduction to Digital Integrated Circuits. What is this class about?

Case study of Mixed Signal Design Flow

CMOS Design Lab Manual

Lecture 11 Logic Synthesis, Part 2

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

CS344 - Build an Internet Router. Nick McKeown, Steve Ibanez (TF)

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project

CMOS INVERTER LAYOUT TUTORIAL

AMchip architecture & design

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

Transcription:

Harris Introduction to CMOS VLSI Design (E158) Project 2 Spring 2008 The E158 class will all collaborate to build a 6502 microprocessor optimized for minimum power at 1 MHz operation. The processor will be pin-compatible with an ordinary 6502 so that it can be placed in an Apple II motherboard. It will dedicate two previously unused pins to support a lower-voltage core operation (to save power) and an error signal from a novel latch. The project will be jointly led by Nathaniel Pinckney, Chief Circuit Designer, and Thomas Barr, Chief Microarchitect. The microarchitecture and ROM teams will report to the Chief Microarchitect, while the Schematic & Optimization,,, and Clocking teams will report to the Chief Circuit Designer. This document defines the project deliverables. All deliverables are due at the Wednesday evening design reviews. At each review, turn in a document demonstrating that the milestones have been achieved. This could take the form of schematics, layout, SPICE graphs, Java code, a written statement about verification status, or so forth, depending on the specific milestone. The milestones are likely to be revised at least once during the project. Please provide prompt feedback if you see unrealistic objectives. The design reviews will take place in the VLSI War Room (Parsons 2383, a.k.a the MicroP s Lab). Your project grade will be based on the following factors: Weekly written deliverable reports: 25% Quality of deliverables based on review: 25% On-time completion of milestones: 25% Project Report: 15% Self and Peer Evaluations: 5% Presentations Days Presentation: 5% Satisfactory completion of your initially assigned objectives will earn a B for the project. Unforseen milestones will inevitably arise. You can raise your grade by a letter by taking on some of these tasks or by volunteering to be a team leader.

WW 1 (5 March) Schematic Team + Team Schematics simulate in ModelSim with testfixtures and testvectors made by designers. branchlogic mux5_1x mux5_1x_8 inc_8 regbit (fig 1.13a) regfile_8 regbitbuf registerbuf_8, registerbufmasked_8 alu_8 latch_dp_1x latch _1x_8 latchr_dp_1x latchr_1x_8 latchen_dp_1x latchen_1x_8 The performance of the inc_8 and alu_8 will be important to the chip s overall performance, so these should be optimized. Schematics simulate in ModelSim with testfixtures and testvectors made by designers. levelconv_1x (12.25a) pad_out_lc, pad_inout_lc Schematics simulate in ModelSim with testfixtures and testvectors made by designers. razorlatch_dp_1x (see notes) razorlatch_1x_8 Microarchitecture (Microarchitects) RTL Rev 1(Barr) Pass regression suites A and B ROM contents may not be able to boot Apple II RTL rearranged to match planned hierarchy ROMs retimed into half cycles Critical path analysis Delays in modules in RTL, analyze hold time risks Regression Suite A: Tests all instructions (passes in RTL and match emulator) Regression Suite P: ~10 instructions for testing power Self-checking test benches The ROM generator should parse a case statement in the same format as is expected by the PLA generator. Or better yet, it could read a Verilog module with inputs and outputs and a single case statement, Then generate a ROM with the appropriately named s. The ROM format should match Figure 11.39. Use 3/3 λ pseudo-nmos pullups and 4/2 λ transistors in the array. Use 4x inverters on the output and appropriately sized inverters and buffers on the address inputs. WW1 deliverables: parse case statement similar to PLA generator Emit layout of at least one component of the ROM

WW 2 (12 March) Chip schematics complete Chip passes regression suite A datapath controller latches and other hardware as needed controller core chip (based on pad frame generator) Cell layout pass DRC, ERC, NCC mux8_1x mux5_1x halfadder regbit regbitbuf alu latch_dp_1x latchr_dp_1x latchen_dp_1x generate layout (not necessarily DRC clean or properly sized inverters) autogen schematic from layout correct simulation in Verilog opcoderom fsmrom deliver in time for full-chip integration Layout pass ERC, DRC, NCC for levelconv_1x Write.arr file matching 6502 pinout plus two extras pin 35 for corevdd pin 36 for ERR from Generate chip{sch} from core{sch} and pads Characterize level converters in HSPICE DC transfer characteristics Microarchitecture VCD files for suite A and P on chip module Layout pass ERC, DRC, NCC for razorlatch_dp_1x Complete error detection logic schematics Plan study Schematics for clocking system

WW 3 (2 April) Use vcd2sp to generate stimulus file Work out reasonable timing for external memory Simulate chip{sch} in HSPICE using Suite P Plot power vs. V DD Determine minimum operating voltage at 1 MHz Make pie chart of major power consumption (ROMs, control, dp, pads) Assume output pads drive 10 pf each Wordlib layout pass DRC, ERC, NCC mux5_1x_8 inc_8 regfile_8 registerbuf_8, registerbufmasked_8 alu_8 latch_1x_8 latchr_1x_8 latchen_1x_8 pad_out_lc, pad_inout_lc () DRC, ERC, NCC, simulate in Verilog SPICE characterization: output V OL, static power consumption Regression Suites (Microarchitects) Suite R: Boot Apple II ROM RTL Rev 2 passes suite R use vcd2sim to generate IRSIM test vectors from Suite P Simulate core{sch} in IRSIM razorlatch_1x_8 layout pass DRC, ERC, NCC Complete physical design of hardware Mindelay and glitch analysis

WW 4 (9 April) Tune schematic to use less power Unit layout pass DRC, ERC, NCC datapath controller latches as needed controller Regenerate ROMs based on RTL Rev 2 Use vcd2sim to generate IRSIM test vectors from Suite R Simulate core{sch} in IRSIM on Suite R Write post-fabrication test plan Describes exact steps to use and equipment needed to test the chip when it returns from the fab. This should involve both functional testing on TestosterICs and in-situ testing in an Apple II. It should also describe what data should be measured and how to obtain it. Demonstrate generating ERR before failure in SPICE Use Suite P Characterize detection window width (in terms of V DD margin from point of ERR to point of failure) Demonstrate SER detection of event in combinational logic Microarchitecture Begin ISSCC Student Design Contest 6-page paper

WW 5 (16 April) Assist with ECOs for layout changes based on tuning Completed chip layout Update layout to reflect schematic tuning ECOs pass DRC, ERC, NCC according to Lab 4 instructions Layout simulates suite A, R in Verilogs Generate CIF and record checksum Deliver early enough for simulation teams to finish their jobs core chip Chip Report Microarchitects, ROM,, teams begin draft WW 6 (23 April) Chip Report Attractive cover page with chip plot Paper on application to the chip ISSCC Student Design Contest 6-page paper Report outline TBD Repeat power characterization on extracted full-chip layout Simulate core{lay} in IRSIM for Suite P Evaluations Thoughtful evaluation of self and of teammates. Constructive suggestions for future improvement. WW 7 (7 May) Presentation of 6502 chip at Presentations Days