Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Similar documents
An introduction to CoCentric

Ten Reasons to Optimize a Processor

SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder

Early Models in Silicon with SystemC synthesis

A New Electronic System Level Methodology for Complex Chip Designs

100M Gate Designs in FPGAs

ESL design with the Agility Compiler for SystemC

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

System Level Design with IBM PowerPC Models

Hardware/Software Co-design

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

High Level Synthesis Re-usable model of AMBA AXI4 communication protocol for HLS based design flow developed using SystemC Synthesis subset

COE 561 Digital System Design & Synthesis Introduction

FPGA for Software Engineers

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Vivado HLx Design Entry. June 2016

Abstraction Layers for Hardware Design

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Advanced Synthesis Techniques

Cover TBD. intel Quartus prime Design software

Design and Verification of FPGA Applications

ASYNC Rik van de Wiel COO Handshake Solutions

81920**slide. 1Developing the Accelerator Using HLS

World Class Verilog & SystemVerilog Training

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network

Modular SystemC. In-house Training Options. For further information contact your local Doulos Sales Office.

Verilog for High Performance

SoC Design for the New Millennium Daniel D. Gajski

Performance Verification for ESL Design Methodology from AADL Models

Digital Blocks Semiconductor IP

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Accelerating FPGA/ASIC Design and Verification

Cover TBD. intel Quartus prime Design software

Intel Quartus Prime Pro Edition

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

SpecC Methodology for High-Level Modeling

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

VHDL for Synthesis. Course Description. Course Duration. Goals

Transaction-Level Modeling Definitions and Approximations. 2. Definitions of Transaction-Level Modeling

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

MOJTABA MAHDAVI Mojtaba Mahdavi DSP Design Course, EIT Department, Lund University, Sweden

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company.

Agenda. How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware design

Unit 2: High-Level Synthesis

EE 4755 Digital Design Using Hardware Description Languages

Higher Level Programming Abstractions for FPGAs using OpenCL

Digital VLSI Design with Verilog

SP3Q.3. What makes it a good idea to put CRC computation and error-correcting code computation into custom hardware?

From Concept to Silicon

Sunburst Design - Advanced SystemVerilog for Design & Verification by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

VHDL vs. BSV: A case study on a Java-optimized processor

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Digital Blocks Semiconductor IP

ARM Processors for Embedded Applications

Configurable and Extensible Processors Change System Design. Ricardo E. Gonzalez Tensilica, Inc.

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Algorithmic C synthesis (High-level synthesis)

ENHANCED TOOLS FOR RISC-V PROCESSOR DEVELOPMENT

Sunburst Design - Comprehensive SystemVerilog Design & Synthesis by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

FPGA Entering the Era of the All Programmable SoC

EEL 5722C Field-Programmable Gate Array Design

Making the Most of your MATLAB Models to Improve Verification

Design Once with Design Compiler FPGA

NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES

RISC-V CUSTOMIZATION WITH STUDIO 8

EE 4755 Digital Design Using Hardware Description Languages

High-Level Information Interface

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17,

S2CBench : Synthesizable SystemC Benchmark Suite for High-Level Synthesis

Does FPGA-based prototyping really have to be this difficult?

Introduction to Electronic Design Automation. Model of Computation. Model of Computation. Model of Computation

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

The SOCks Design Platform. Johannes Grad

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Xtensa 7 Configurable Processor Core

Clockless IC Design using Handshake Technology. Ad Peeters

NISC Application and Advantages

Physical-Aware High Level Synthesis Congestion resolution for the realization of high-density and low-power

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Introduction to C and HDL Code Generation from MATLAB

RTL Coding General Concepts

Digital Blocks Semiconductor IP

CMPE 415 Programmable Logic Devices Introduction

9 REASONS WHY THE VIVADO DESIGN SUITE ACCELERATES DESIGN PRODUCTIVITY

Design Guidelines for Optimal Results in High-Density FPGAs

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team

Choosing an Intellectual Property Core

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks

3D Graphics in Future Mobile Devices. Steve Steele, ARM

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio

S2CBench : Synthesizable SystemC Benchmark Suite for High-Level Synthesis

DSP Builder Handbook Volume 1: Introduction to DSP Builder

Intro to High Level Design with SystemC

23. Digital Baseband Design

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Functional Programming in Hardware Design

Transcription:

Cadence SystemC Design and Verification NMI FPGA Network Meeting Jan 21, 2015

The High Level Synthesis Opportunity Raising Abstraction Improves Design & Verification Optimizes Power, Area and Timing for Front End & Back End Tools Moves Verification and Debug up to where it is more efficient Greatly Improves Design Reuse/Retargeting HLS is Proven on Wide Variety of Cutting-Edge Designs Hand-RTL Quality for: Datapath, Control and Mixed Designs Common for designs over 30M gates and >1GHz HLS Provides Significant Competitive Advantages Leading Semiconductor Companies are Changing their Design Methodologies for Productivity and Performance Reduces Costs and Provides Fastest Path to Verified Silicon 2 2015 Cadence Design Systems, Inc..

What is High Level Synthesis (HLS) High Abstraction SystemC describes functionality without micro-arch or implementation details This SystemC is always golden, and is the primary functional verification target Less code to write/debug/maintain HLS is used to explore various implementations Explore and trade-off area, timing, power, pipelining, clocks, tech nodes, etc. Outputs functionally equivalent RTL (or gates) plus simulation models/wrappers Fits into existing flows RTL What SystemC TLM Cadence HLS RTL Compiler Or FPGA synthesis Scripts, Wrappers How High-Level Constraints Performance Area/Power Tech Lib 3 2015 Cadence Design Systems, Inc..

Cadence HLS targeting FPGA Design constraints SystemC C-to-Silicon Compiler API to Altera/Xilinx logic synth RTL FPGA synthesis XST/POF Area/Timing Estimates Integrated with Xilinx/Altera logic synthesis tools (since 2008) Supports all end devices Provides accurate timing/area estimates Flexible scheduling to meet QoR needs Utilization vs max clock speed Supports DSP48 blocks Outputs standard RTL to be synthesized by Quartus/Vivado 4 2015 Cadence Design Systems, Inc..

How does HLS improve productivity Untimed SystemC is more abstract than RTL This eliminates: Breaking down logic into clock cycles Manual creation of the FSM Explicit memory management Explicit register management And more. HLS automates all low-level RTL requirements "We don't want our engineers writing Verilog, we want them inventing concepts and transferring them into silicon and software using automated processes. Yoshihito Kondo GM, Sony Corporation in EDA Graffiti, July 2009 Functionality Architecture Constraints Schedule of operations FSM encoding Area reduction Timing Clock gating Pipeline balance Consistent RTL style Sharing components User Manages HLS Automatically Manages 5 2015 Cadence Design Systems, Inc..

Parallel Design and Verification RTL flow RTL design RTL coding * time to completion RTL verification With RTL flows, the verification cannot start until the design is ready, many months after the start of the project HLS flow * SystemC design SystemC verification RTL verification productivity improvement With HLS verification can start at the same time as the design time to completion * = time of first test vectors running time SystemC model stays golden HLS ensures its always in sync with RTL Parallel design and verification yields large productivity improvement! 6 2015 Cadence Design Systems, Inc..

High-level synthesis is not same as software... Algorithm may be the same, but the implementation has different needs Interface specification and verification Data organization, flow, and storage Software models do not have enough information for aggressive HW Software can assume infinite storage with equal (fast) access time, but Hardware must trade off storage size vs. access time SystemC required if QoR and predictable RTL closure is important Similar RTL-style block partitioning, but leverages higher abstraction 7 2015 Cadence Design Systems, Inc..

SystemC enables System Design ANSI C provides syntax for Computation Functions and arithmetic expressions Verify the math fast with no timing Great for pure algorithms C++ adds Object-Orientation Classes, objects, and templates Great for managing complexity SystemC adds System-Level constructs Structure: hierarchy, modules and ports Concurrency: processes Communication protocols: transaction-level queues, signals, events and waits Precision: fixed-point & bit accurate data types Great for hardware design and verification SystemC system-level C++ ANSI C functionlevel High Level Synthesis 8 2015 Cadence Design Systems, Inc..

Cadence synthesizable SystemC IP Pre-verified building blocks accelerate design and verification Interface IP Generator Memory IP Generator CellMath Floating-Point IP Category Data types Building blocks Generic communication Configurable bus interfaces Custom interfaces Available IP Blocks Fixed point Complex Floating point Computational math FIFOs Line buffers CDCs Memories Point-to-point channels with put()/get() APIs Simple bus AXI3 AXI4-Lite, AXI4 Can be created by users Design services available 9 2015 Cadence Design Systems, Inc..

High-level verification With SystemC Verify algorithms, interfaces, synchronization IP Blocks Design Blocks AXI 4 Synchronization Channel Configuration Register AXI Slave Interface Decoder and Registers C D C Error Diffusion 32-bit Unpack Filter Zoom pix-24 pix-3 Pack 32-bit Line Buffer 24-bit pixels 5x5 Line Buffer 24-bit pixels 2x2 IMG Accelerator Dual Port Adapter Feedback RAM 10 2015 Cadence Design Systems, Inc..

High-level synthesis applications Control Mixed Datapath DSP IP Graphics processing Video processing Image processing Wireless signal processing Security Error correction Automotive Wireless infrastructure Ethernet Microcontroller Memory I/F control Printer control Cache controller DVD/CD Controller 11 2015 Cadence Design Systems, Inc..

Cadence High-Level Synthesis Interface IP Generator SystemC IDE Memory IP Generator Floating Point IP Technology Library Directives Synthesizable Behavioral Models IP Datapath Control Flow Automation & Integration Cadence HLS RTL Compiler Inside Results Visualization Power Performance Area Optimized RTL Combined strengths of Cynthesizer and CtoS Worlds most proven HLS technology 12 2015 Cadence Design Systems, Inc..

(mw) Summary: Why use high-level synthesis? SystemC RTL in 10 days vs. manual RTL in 3 months FPGA retargeting in 1-2 days vs. 2-3 weeks (manual) I/F controller IP Motion-detection IP 10x+ productivity increase 20% better quality of results 5x-10x faster, better verification 13 2015 Cadence Design Systems, Inc..

2015 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, Cynthesizer, Incisive, Encounter, Conformal, and the Cadence logo are trademarks or registered trademarks of Cadence Design Systems, Inc. All others are the property of their respective holders.