Chapter 1 Overview of Digital Systems Design

Similar documents
Programmable Logic Devices HDL-Based Design Flows CMPE 415

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Programmable Logic Devices II

Programmable Logic Devices Introduction CMPE 415. Programmable Logic Devices

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

Hardware Description Languages. Introduction to VHDL

Don t expect to be able to write and debug your code during the lab session.

Design Methodologies and Tools. Full-Custom Design

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Lecture #1: Introduction

structure syntax different levels of abstraction

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Evolution of CAD Tools & Verilog HDL Definition

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

FPGA Based Digital Design Using Verilog HDL

Digital Design Methodology

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Lab 3 Verilog Simulation Mapping

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

RTL Coding General Concepts

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

EEL 4783: Hardware/Software Co-design with FPGAs

The QR code here provides a shortcut to go to the course webpage.

Experiment VERI: FPGA Design with Verilog (Part 1)

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

PINE TRAINING ACADEMY

VLSI Design Automation. Maurizio Palesi

Microprocessor Systems

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable.

Overview of Digital Design Methodologies

CMPE 415 Programmable Logic Devices Introduction

Designing with VHDL and FPGA

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function.

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

VLSI Design Automation

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier

EE178 Spring 2018 Lecture Module 1. Eric Crabill

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 6: Quartus II Tutorial and Practice. Name: Date:

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

PREFACE. Changes to the SOPC Edition

VLSI Design Automation

FPGA Design Flow 1. All About FPGA

Digital Systems Laboratory

(ii) Simplify and implement the following SOP function using NOR gates:

FPGA for Software Engineers

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

COE 561 Digital System Design & Synthesis Introduction

Chapter 5: ASICs Vs. PLDs

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Computer Systems. Binary Representation. Binary Representation. Logical Computation: Boolean Algebra

Laboratory Experiment Mastering Digital Design

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

Lorenz Kolb, Missing Link Electronics

Introduction to Field Programmable Gate Arrays

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London.

ECE/CS Computer Design Lab

VERILOG HDL. (and C) 1 ENGN3213: Digital Systems and Microprocessors L#5-6

Early Models in Silicon with SystemC synthesis

ELEC 2200 Digital Logic Circuits

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Functional Programming in Hardware Design

Physics 536 Spring Illustrating the FPGA design process using Quartus II design software and the Cyclone II FPGA Starter Board.

Hardware Synthesis. References

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition

Universal VLSI Protoboard

The Optimization of a Design Using VHDL Concepts

FT-UNSHADES credits. UNiversity of Sevilla HArdware DEbugging System.

Hardware describing languages, high level tools and Synthesis

ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EEM Digital Systems II

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

A B A+B

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 1 & 2

Creating Computers from (almost) scratch using FPGAs, VHDL and FORTH. Recreative explorations of the hardware/software co-design space

Lecture 3 Introduction to VHDL

Verilog for High Performance

VHDL for Synthesis. Course Description. Course Duration. Goals

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks

Programmable Logic Devices

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

CCE 3202 Advanced Digital System Design

Field Programmable Gate Array

Hardware Modeling. Hardware Description. ECS Group, TU Wien

Field Programmable Gate Array (FPGA)

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

CS 250 VLSI Design Lecture 11 Design Verification

UNIT 6 CIRCUIT DESIGN

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements

Experiment 3. Digital Circuit Prototyping Using FPGAs

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Workshop on Digital Circuit Design in FPGA

CET4805 Component and Subsystem Design II. EXPERIMENT # 2: VHDL(VHSIC Hardware Descriptive Language) Name: Date:

Digital Systems Design. System on a Programmable Chip

R07. IV B.Tech. II Semester Supplementary Examinations, July, 2011

Transcription:

Chapter 1 Overview of Digital Systems Design SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 8, 2017

Why Digital Design? Many times, microcontrollers are not powerful enough For solving many engineering problems, microcontrollers are cheap and sufficient Need to know the best solution for the problem at hand

Design options for digital systems Device Advantages Shortcomings Desktop processor Familiar Big and uses much power Microcontroller Low cost May not have enough performance Custom digital circuit Highest performance Require specialized design

Digital Design Process Design entry Netlist Simulation Functional/ timing validation Synthesis Real chip!

Design Entry Schematic capture Graphical based Only suitable for small designs Hardware Description language (HDL) Text-based Just like computer programming

Design Entry Netlists Design Entry produces design files Design files are compiled to netlists Then netlists are simulated

Simulation Functional simulation Faster Device-independent Timing simulation Delays are simulated accurately Must be fitted to target chip

Moore s Law Source: IEEE international Solid-State Circuits Conference (ISSCC) 2013.

Level of Abstraction Levels Physical objects Behavioral forms System Processors, controllers, Executable memories, SoC programs Register Adder, comparators, Instructions, registers, counters RTL, flowcharts Gate Gates, Boolean equations, flip-flops finite state machines Transistor Transistors, resistors Current-voltage capacitors equations

Level of Abstraction System Module Gate Transistor

The Three Y s Hierarchy involves dividing a system into modules, then further subdividing each of these module until the pieces are manageable. Modularity means that modules have well-defined functions and interfaces, so can connect together without surprises. Regularity seeks uniformity among modules. Common modules reused often, reducing number of distinct modules that must be designed.

Hardware Description languages Why? Design exploration: describe & simulate a circuit Logic synthesis: compile code to create hardware More productive compared to schematic entry Most common HDLs: VHDL Based on Ada language Somewhat more suitable for very complex design Verilog Based on C language Usually simpler code compared to VHDL

4 4 4 Top-Down Design: Level 0 Level 0 (Top-level Entity) Top-down design Level 1 c out A B 4-bit adder S c in Bottom-up implementation a.k.a. Entity Level Start at design from the top. Define the inputs, outputs and function A B 4 4 Level 2 c out 4-bit adder c in 4 Level 3 S

Top-Down Design: Level 1 Major blocks Next draw and connect the major blocks. Define inputs, output and function of each major block Specify but don t implement

Top-Down Design: Level 2 & 3 Refine major blocks Define inputs, output and function of the blocks, going into further detail Top-down design is complete when a block is built of primitives (gates & flip-flops)

Bottom-Up Implementation Build the components from the primitive level first Test, test & test When no errors, store component in library module Then build the the higher level component using library modules Keep adding modules to library Stop when you reach Entity Level

ASIC Application-Specific Integrated Circuit A custom-made chip Take a lot of engineering effort to design but for some applications, it s worth the trouble Microprocessors & microcontrollers must be ASIC to squeeze maximum performance & lowest power In Malaysia, ASIC design is done in Intel, Altera, Silterra Must be good in VLSI design

FPGA Field-Programmable Gate Array Programmable hardware A blank chip is programmed to implement logic functions Mainly used to accelerate operations which are too slow on microcontrollers yet want to maintain small size & low power Remember, PC is also power but big & power-hungry FPGA design is easier than ASIC design Top two FPGA companies are Xilinx and Altera

FPGA is very useful in: Robotics & Automation Control of robots using image processing Low power high speed controllers Instrumentation Increase data acquisition speed Increase measurement accuracy Communications Process more data packets per second Implement software-defined radio Power Control a motor more precisely More efficient renewable energy

System-on-Chip (SoC) and Embedded Systems SoC: A complex chip that integrates major functions of a complete end-product into a single chip or chipset Examples: Apple A5 which contains ARM processor & most hardware required for ipad May be ASIC or FPGA Embedded systems: A processor that runs at something that doesn t look like a computer Examples: computer mouse, USB drive, printer, camera, TV, traffic light... the list is endless Nice place to apply what you will learn in this subject

Summary Abstraction allows a design to be tackled at a high level by ignoring the details. Simple digital circuits may be entered using schematic capture but very complex systems require writing code in HDL. The concept of hierarchy, modularity and regularity maintains productivity. Contemporary digital systems are implemented on CPLD or FPGA for rapid prototyping. Designs are implemented on ASIC when cost and performance targets are justified.