Verilog-A Standardization for Compact Modeling

Similar documents
Guidelines for Verilog-A Compact Model Coding

SMASH: a Verilog-A simulator for analog designers

Tutorial: How to (and How NOT to) Write a Compact Model in Verilog-A

Laurent Lemaitre (F)

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

SmartSpice Analog Circuit Simulator Product Update. Yokohama, June 2004 Workshop

Single Vendor Design Flow Solutions for Low Power Electronics

Utmost III. Device Characterization and Modeling

Trends and Challenges

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Compact Model Council

Some of the above changes have been made to accommodate Windows Vista User Access Control which write protects the Program Files tree.

A Platform for Compact Model Sharing!

SiMKit Release Notes. for SiMKit version 2.5. First Edition. NXP Semiconductors DMS/Tool and Flow Solutions

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises

SiMKit Release Notes. for SiMKit version 2.2. First Edition. Philips ED&T/Analogue Simulation

Qucs-S a maturing GPL software package for circuit simulation and compact modelling of current and emerging technology devices

A Unified Environment for Modeling Very Deep Submicron MOS Transistors inside Agilent s IC-CAP

New Verilog A Model Compiler for SPICE 3F5

Simulation and Modeling for Signal Integrity and EMC

Compact Model Standardization and Implementation Using Verilog-A

Parag Choudhary Engineering Architect

PSpice Analog and mixed signal simulation

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

Using Verilog-A in Advanced Design System

New Models into SPICE ****************************

Lecture 9. Introduction to Analog. Jaeha Kim Mixed-Signal IC and System Group (MICS) Seoul National University

THE DESIGNER S GUIDE TO VERILOG-AMS

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

QUCS Quite Universal Circuit Simulator

MODELING PHASE-LOCKED LOOPS USING VERILOG

Comprehensive design and verification with the industry s leading simulators

Use and extraction of compact models for EMI / EMC simulations of power devices

Programmable Logic Devices HDL-Based Design Flows CMPE 415

What s new in IC-CAP 2009 Update 1

Tanner Analog Front End Flow. Student Workbook

Harmony-AMS Analog/Mixed-Signal Simulator

New Verilog A Model Compiler for SPICE 3F5

Operationalizing the CIS Top 20 Critical Security Controls with Splunk Enterprise

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs

Parameter Sweep. Description. Setup. Parameters. Modified by on 13-Sep-2017

VLSI System Testing. Fault Simulation

IC-CAP From Waferscanning to PlotOptimizer - Verilog-A Support. Slide 1

Example of Digital System Design

PARAMETER MIN TYP MAX UNITS COMMENTS

The 3S Proposal: A SPICE Superset Specification for Behavioral Modeling

Extensions to Verilog-A to Support Compact Device Modeling

AMS Behavioral Modeling

FOSS/H Tools for Compact Modeling Technology - Devices - Applications. Wladek Grabinski MOS-AK Association (EU)

Mark T. Bryant, Ph.D.

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A

Student Workbook. Mentor Graphics Corporation All rights reserved.

101-1 Under-Graduate Project Digital IC Design Flow

Gerhard Noessing, Villach

Chapter 5: ASICs Vs. PLDs

TINA-TI Simulation Software. Application Note

UOTFT: Universal Organic TFT Model for Circuit Design

Orifice Flow Meter

Spectre-Compatible Process Design Kits

Outline. Proof Carrying Code. Hardware Trojan Threat. Why Compromise HDL Code?

Release Options. Option 1 Verilog-A [MAST,?...) ==> advantages see separates slides/presentations

COMPACT DEVICE MODELING USING VERILOG-AMS AND ADMS

FPGA Power Management and Modeling Techniques

VARTA Powercaps HVC Series

Deploying Modelica Models into Multiple Simulation Environments

MOSFET Simulation Models

Chapter 4 Network Layer: The Data Plane. Part A. Computer Networking: A Top Down Approach

Modeling Pre/de-emphasis buffers with [Driver Schedule]

OrCad & Spice Tutorial By, Ronak Gandhi Syracuse University

ASSOCIATED ELECTRONICS RESEARCH FOUNDATION C-53 PHASE-II, NOIDA

A Proposal for Developing S2IBISv3

Verilog-A compact modelling of SiC devices with Qucs-S, QucsStudio and MAPP/Octave FOSS tools

Use of Symbolic Performance Models in Layout-Inclusive Synthesis of RF Low-Noise Amplifiers

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

U.S. Department of Transportation. Standard

Advanced Design System Feburary 2011 Using Verilog-A and Verilog-AMS in Advanced Design System

The TAU 2017 Contest

Application Suggestions for X2Y Technology

New Enhanced Possibilities of Netlist Comparison in Guardian LVS

DU MSc Electronics. Topic:- DU_J18_MSC_ELEC. Correct Answer :- C only [Option ID = 89628] Correct Answer : kw [Option ID = 89858]

CORD-XL Dual-Channel Electronic Chart Recorder User s Manual

Protection class. ±0.35% f.s., other values on request. Protection class IP50

Calibration of molbox1+ flow terminal Fluke Corporation Fluke Calibration Flow Course 1

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

Intermediate Representations

Verilog-A Debug Tool: AHDL Linter

Design Document. May Logging DC Wattmeter. Team Member: Advisor : Ailing Mei. Collin Christy. Andrew Kom. Client: Chongli Cai

Sneak Preview of the Upcoming SystemC AMS 2.0 Standard

Towards Distribution Smart Grid in Mexico. Austin, Texas November 15 18, 2011

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS

DSP Research Project

TURCK Process Automation IS Interface Technology. Interface Modules. IM Series Cabinet

APPLICATION GUIDE. Interface Modules IM Series.

Icarus Verilog Status and Goals

Linking a Simulation Model to a Schematic Component. Contents

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture.

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC

Transcription:

Verilog-A Standardization for Compact Modeling Marek Mierzwinski Santa Rosa, CA MOS-AK /GSA Workshop December, 2011 Washington, DC

Outline A quick history Why Verilog-A has become the language of choice for compact model development Why some standardization still remains Panel introduction

Some history Verilog-A is a Hardware Description Language Verilog-A is a subset of Verilog-AMS Verilog-AMS is a superset of Verilog Subset is explicitly defined Developed in mid 1990s. Originally an interpreted language Specific extensions for compact models were developed in 2006 (LRM v 2.2)

Why Verilog-A? Verilog-A is a natural language for analog model development succinct derivatives, calls to simulator code all handled by compiler simple parameter support powerful modelcard language

Geometric Resistor Example Illustrates how Verilog-A components can access netlist parameters and system parameters (like circuit temperature), check range and if parameter is even changed Analysis-agnostic Simple functions for complex things like noise contributions

Verilog-A: Example Compiler directives Module and port definitions Parameter definitions

Verilog-A: Example Analog behavior

Verilog-A: Example Spice c-code would be ~10k lines

Verilog-A: Simulation Most simulators include via a netlist instruction to load the source file.hdl ~/mymodels/mysource.va ahdl_include ~/mymodels/mysource.va During simulation, the Verilog-A module is instantiated like a primitive device: X1 in out myamp gain=10 ro=100k m=1 Amp1 (in out) myamp gain=10 ro=100k m=1 R2 n1 n2 resistor Rsh=250

Behind the Scenes Verilog-A compiler C compiler 101010 101110 111110 010100 110111 011010 Object code Verilog-A source C-code Analog Simulator

Why Verilog-A? It s PORTABLE! Verilog-A supported by all major commercial vendors Write once, use everywhere Models can be archived with the circuit design (not the simulator) It s in use PSP, HiCUM, VBIC, EKV, MEXTRAM, Angelov

Related uses for compact models Wrapper for node monitoring Monitor device usage Reliability Power Extend Control instantiation by parameters x1 1 2 rcline N=10

Example Variable number of instances One module can instantiate a variable number of other modules (or primitives) based on parameter values R1 C1n Ri Ci Rn Cn

Current State Verilog-A models tend to run 10-100% slower Use more memory

Current State No theoretical reason for Verilog-A to be inferior in performance to built-ins Model coding can have a big influence Execution speed Memory use Convergence/numerical stability MOS-AK 2009/2010 There have been demonstrations of equal or better performance

Current State Some compilers prefer variables are initialized in a particular way Some compilers need special coding patterns to determine special cases, like where a node might be collapsed Perceived limitations Noise NQS

Modeling Burst Noise Implementing burst noise of the form can be done using the non-standard extension, $realfreq: Or it can be done using standard Verilog-A functions by coloring the noise via a Laplace transform. Compare built-in (c-code) version of Angelov to Verilog-A

Modeling NQS Effects in RF Non-quasi-static effects are typically modeled with a delay on the charge Instead, use intermediate node n Blue no NQS Red NQS Eq Ckt Green NQS time domain NQS model now works in time and frequency domain

Conventions? Model versus Instance parameters Or should the compiler figure this out? Initialization and dependency Is there a good way to suggest this to the compiler? analog initial block, block names, Or should the compiler figure this out? Analysis-specific code The language supports it, should compact models be allowed to use it?

Google Group

Panelists Geoffrey J. Coram, Analog Devices (Moderator) Walter R. Curtice, WRC Consulting Carlos Galup-Montoro, Universidade Federal de Santa Catarina, Brazil Keith Green, Texas Instruments, Compact Modeling Council Benjamin Iniguez, University Rovira i Virgili, Spain, COMON Network