Materials for and performance of multilayer lithography schemes

Similar documents
Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr.

Optimization of Photolithography Process Using Simulation

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

The Death of the Aerial Image

Photoresist Qualification using Scatterometry CD

Schematic creation of MOS field effect transistor.

Outline. Abstract. Modeling Approach

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Coupling of surface roughness to the performance of computer-generated holograms

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY

CSPLAT for Photolithography Simulation

Benefiting from polarization effects on high-na imaging

Defect Repair for EUVL Mask Blanks

Strengthening the leadership

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation

Enhanced Lumped Parameter Model for Photolithography

2013 International Workshop on EUV Lithography Hanyang University

Article 3D Topography Mask Aligner

Optical Topography Measurement of Patterned Wafers

EUV Lithography and Overlay Control

Image Degradation due to Phase Effects in Chromeless Phase Lithography

Visible-frequency dielectric metasurfaces for multi-wavelength achromatic and highly-dispersive holograms

OPC flare and optical modeling requirements for EUV

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009

Lithography Simulation

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer

LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands

Photoresist with Ultrasonic Atomization Allows for High-Aspect-Ratio Photolithography under Atmospheric Conditions

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Hybrid hotspot detection using regression model and lithography simulation

ABSTRACT. Bioinspired antireflection (AR) structures have significant advantages over traditional

SAMPLE TUTORIAL. Introduction. Running Sample on UNIX systems. Barry Paul Linder, Spring 1996.

Introduction to Diffraction Gratings

ARRAYS OF MICRO-PRISMS AND MICRO-MIRRORS FOR INFRARED LIGHT BASED ON As 2 S 3 -As 2 Se 3 PHOTORESISTS

Manufacturing Challenges and their Implications on Design

Line Pattern Collapse

Benefiting from Polarization: Effects at High-NA Imaging

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing. SEMATECH Workshop on 3D Interconnect Metrology

AP Physics Problems -- Waves and Light

MLI INTRODUCTION GUIDE. copyright reserved 2012 MLI

New plasma processes for improved dimensional control and LWR for a 28nm gate patterning

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Analysis of an Effect of Perturbations in SWHM and Illuminating Optical Scheme Parameters on an Aerial Image

Inspection of imprint templates Sematech Lithography Workshop May, 2008

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

LER Degradation vs. EUV Resist Thickness Report for Technical Working Group

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia)

EUV telecentricity and shadowing errors impact on process margins

Using the Normalized Image Log-Slope, part 5: Development

Overlay accuracy fundamentals

Coping with Variability in Semiconductor Manufacturing

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Optical microscope angular illumination analysis

SCATTEROMETRY OF 50 NM HALF PITCH FEATURES

Carbon Nanotube Tunable Microbattery

Snell or Fresnel The influence of material index on hyper NA lithography

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection

TECHSPEC COMPACT FIXED FOCAL LENGTH LENS

In-situ metrology for pad surface monitoring in CMP

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Development of innovative ALD materials for high density 3D integrated capacitors

SMO Photomask Inspection in the Lithographic Plane

Computational Lithography Turning Physics into Yield

Doug Schramm a, Dale Bowles a, Martin Mastovich b, Paul C. Knutrud b, Anastasia Tyurina b ABSTRACT 1. INTRODUCTION

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

Effective Medium Theory, Rough Surfaces, and Moth s Eyes

3D Holographic Lithography

Chapter 36. Diffraction. Dr. Armen Kocharian

CMOS TECHNOLOGY- Chapter 2 in the Text

12X Zoom. Incredible 12X (0.58-7X) magnification for inspection of a wider range of parts.

P recise Eye. High resolution, diffraction-limited f/4.5 optical quality for high precision measurement and inspection.

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

32nm and below Logic Patterning using Optimized Illumination and Double Patterning

Error Analysis in Inverse Scatterometry I: Modeling

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency

Photoresist Modulation Curves

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

APPLICATION OF Ni/C-GÖBEL MIRRORS AS PARALLEL BEAM X-RAY OPTICS FOR Cu Ka AND Mo Ka RADIATION

1 Introduction j3. Thicknesses d j. Layers. Refractive Indices. Layer Stack. Substrates. Propagation Wave Model. r-t-φ-model

A Single Grating-lens Focusing Two Orthogonally Polarized Beams in Opposite Direction

Effect of Board Clamping System on Solder Paste Print Quality Dr. Rita Mohanty Speedline Technologies Franklin MA

2D nano PrintArray Product Data Sheet

Evanescent wave imaging in optical lithography

Supplementary Figure 1: Schematic of the nanorod-scattered wave along the +z. direction.

PYRAMID: A Hierarchical Approach to E-beam Proximity Effect Correction

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Optimization of anisotropically etched silicon surface-relief gratings for substrate-mode optical interconnects

Advanced Simulation Techniques for Thick Photoresist Lithography

Lab 5: Diffraction and Interference

Transcription:

Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA 65401, 573-364-0300, mweimer@brewerscience.com ABSTRACT The 45-nm node will require the use of thinner photoresists, which necessitates the use of multilayer pattern transfer schemes. One common multilayer approach is the use of a silicon-rich anti-reflective hardmask (Si BARC) with a carbon-rich pattern transfer underlayer (spin-on carbon, or SOC). The combination of the two layers provides a highly planar platform for a thin resist, and provides a route to etch substrates due to the alternating plasma etch selectivities of the organic resist, inorganic Si BARC, and organic SOC. Yet such schemes will need to be optimized both for pattern transfer and optics. Optimizing optics under hyper-na immersion conditions is more complicated than with standard (that is, NA<1) lithography. A rigorous calculation technique is used to evaluate and compare standard lithography to a hyper-na case using a multilayer stack. An example of such a stack is shown to have reasonable lithographic performance. Key words: Multilayer lithography, immersion lithography, 45-nm node, spin-on hardmask, planarization, antireflective coatings, Trilayer, BARC 1. INTRODUCTION Every device shrink has created new challenges for fabrication. The shrink to the 45-nm node and below has proved especially demanding. Many new processes and materials are being introduced to support the transition. One fundamental challenge for such small features is that depth of focus (DOF) and aspect ratio limitations force the resist thickness down to unprecedented levels. For some 45-nm node processes, the resist is expected to be less than 150nm thick and continuingly shrinking with new generations. Such a thin imaging layer does not provide sufficient plasma resistance to permit pattern transfer of the image directly to the substrate. Magnification of etch resistance is often required for substrate patterning and is achieved with the use of hardmask technology. The use of more than one layer to execute pattern transfer in this circumstance is often termed multilayer technology. A common multilayer approach is to use alternating organic and inorganic layers. 1,2 Large reactive ion etching selectivities between these materials are exploited to essentially amplify the pattern transfer capability of the resist. A generalized scheme is shown in Figure 1. In this scheme the multilayer stack is built on the substrate first by the application of an organic coating, followed by an inorganic coating (typically a silicon-containing material), followed by an optional organic bottom anti-reflective coating (BARC) and resist (Figure 1, step 1). The thin resist is patterned with advanced lithographic techniques (Figure 1, step 2). The pattern is then transferred into the underlying layers by opening the hardmask (and BARC if applicable) with a highly selective etch process, typically a fluorinated plasma etch (Figure 1, step 3). The carbon layer under the hardmask is then opened using an oxygen-rich plasma etch, which takes advantage of the large selectivity achievable between inorganic silicon-type materials and organics in an oxygen plasma (Figure 1, step 4). The substrate is then patterned with the relief image now present in the carbon layer (Figure 1, step 5). This generic multilayer scheme can be reduced to practice in a number of ways (Figure 2). There are material stacks for which the layers are applied by chemical vapor deposition (CVD) for both the organic and inorganic hardmasks. In addition, there are material stacks applied through a spin-coating process. Also possible are hybrid stacks that use a combination of spin-on and CVD layers. Beyond the specific demands of pattern transfer, the multilayer stack must possess other characteristics. Other primary functions of the combination of layers are planarizing substrates and proper optics. Highly planar substrates will be required to maintain the thin resist in the diminishing depth of focus. Proper optical parameters of each layer of the

Figure 1. General trilayer scheme for pattern transfer. Figure 2. General multilayer schemes. A is a CVD carbon layer and hardmask with an organic spin-on BARC. B is a spin-applied trilayer solution. C is an enhanced spin-applied solution. combined stack are required to maintain and acceptable level of reflectivity control for high fidelity reproduction of the aerial image. To achieve resolution at 45-nm node and below with 193nm light, an effective numeric aperture (NA) greater than 1.0 is required. 3,4 The use of an immersion fluid between the final lens and the resist permits the increase of the NA to greater than 1.0. This hyper-na situation includes a greater range of incident angles combining to form the aerial image. The implications of hyper-na necessitate a reconsideration of the typical approach for reflectance control 3 and modeling. The traditional view of reflectivity from a substrate in lithography considers the average reflectivity over the exposure area. 4 A planar wave assumption (Figure 3) is typically used along with an idealized image from the mask. 4 Under Figure 3. Comparison between planar wave model and full diffraction model

traditional exposure conditions, when all incident light is nearly vertical the planar wave model is valid and permits useful calculations of reflectance. If this assumption is used, the calculated reflectance will not vary significantly with pitch or size, as there is little interaction between adjacent features. It is our contention that under hyper-na conditions the planar wave assumption is no longer valid and a more rigorous evaluation is required. In the approach described here, diffraction from the mask is considered and the complete set of incidence angles are accounted for. This approach takes into account all the optical information created from the illumination conditions and the mask. By incorporating all this information to create a reflected image the dependence on pitch and line width is revealed. Further demonstrated are properly designed multilayer stacks based on this new model that significantly reduce detrimental reflection over a wide range of angles typically found in hyper-na lithography. The results were then applied to the lithography under hyper- NA conditions and was tested for features exposed at NA = 1.3. 2. RESULTS While the total reflected image is calculated with the reflectivity at all points of the feature pattern, the reported reflectivity from the new model contains the greatest point of interest, the line edge. If the reflected image overlaps with the incident image, the amount of the light is inconsequential to the fidelity of the image. Moreover if the reflective image is contained in an area of no exposure, the amount of light energy from the reflective image must stay significantly below any activation of the resist. The line edge is the greatest concern for the fidelity of the latent image because the line edge is where standing waves in the resist manifest themselves and where contrast is paramount. Figures 4 and 5 contain graphs of reflectance and intensity calculated using the full diffraction model. The gray line represents the optical image intensity relative to the light incident at the mask input (intensity = 1 at mask input). The intensity data are measured along the left y-axes. The thin black line is the calculated reflectance expressed as a percent of the light incident at the resist/barc interface. The square markers represent the reflectance value at the feature edge. A B Figure 4. Plot of intensity and reflectivity at the Resist/BARC interface. X is position along the cross-section of infinite lines. Gray lines represent image intensity. Thin black lines represent %reflectance vs. the intensity incident. Markers show the %reflectance at the feature edge. Chart A shows a range of pitches for constant line width of 75 nm. Chart B shows a range of line widths at 1:1 spacing.

Figure 4 is based on an illumination conditions with NA = 0.75. Graph A shows calculated reflectance using a hypothetical BARC with n=1.67 and k= 0.52 at 35nm thick. At the feature edge the reflectivity is all below 0.02%, and is therefore essentially equivalent and negligible. In Graph B, the edge reflectance approaches 0.05% at 90nm but is still essentially negligible everywhere. It is concluded that these conditions represent acceptable, if not optimal, reflectance regardless of pitch and size. Using standard NA conditions, the effect from pitch and line width is not a significant contributor to reflectivity changes and previous model assumptions are valid. A B Figure 5. Plot of intensity and reflectivity at the Resist/BARC interface. X is position along the cross-section of infinite lines. Gray lines represent image intensity. Thin black lines represent %reflectance vs. the intensity incident. Markers show the %reflectance at the feature edge. Chart A shows a range of pitches for constant line width of 45 nm. Chart B shows a range of line widths at 1:1 spacing. Figure 5 duplicates this approach for NA = 1.3 and feature appropriate for this illumination. It is clear from Graph A that there is a pitch dependence, with the reflectance for the 1:1.5 and 1:2 being an order of magnitude higher than when NA = 0.75. Similar to the case where NA = 0.75, Graph B shows that reflectance is highest for the smallest feature, but again reflectance for the case where NA = 1.3 is an order magnitude higher. For the examples shown, the high NA condition does have potentially significant size and pitch dependence. Calculations with many other cases have shown this to be generally true. For the cases outlined above, the reflectivity is low enough everywhere to avoid image degradation. However these are truly ideal test cases where the thickness of the BARC is uniform and the patterns are generalized and regular. This does not necessarily represent a real device design. Complications form added the factors of topography, suboptimal BARC parameters were used over a wide range of feature sizes and pitches could conceivably cause localized reflectance problems. Since pattern transfer layers are required at the 45-nm node and below, the trilayer stack was evaluated for optical control using this new calculation method. For simplicity the SOC was held constant using BSI.M06089A which has n = 1.45, k = 0.55 at an opaque thickness of 300nm. Figure 6 shows the output of this calculation method for an available tool setup, mask, and a set of materials. Both the image and the calculation model consist of 15 lines that are 55nm wide with a 110nm pitch. The material stacks chosen have low inherent overall reflectivity, and the reflectivity at the edges is

quite small. The calculations revealed that the two different optical configurations are acceptable. One where the Si BARC is relatively thin at 40nm (Figure 6a) and another where the thickness is about 80nm (Figure 6b). The first was BSI.M06099B where n = 1.60, k = 0.20 at an optimum thickness of 45nm. The second uses BSI.S05068B where n = 1.81, k = 0.20 at the optimum thickness of 80nm. These material stacks were evaluated with an immersion tool at NA = 1.3. The substrate is a bare silicon wafer so there were no topography challenges to overcome. The exposure conditions and the resist profiles are shown in Figure 7. The performance of the lithography agrees well with the expected outcome based on calculation. A B Figure 6. Plot of intensity and reflectivity at the Resist/BARC for 55nm litho. X is position along the crosssection of infinite lines. Gray lines represent image intensity. Thin black lines represent %reflectance vs. the intensity incident. Markers show the %reflectance at the feature edge. Chart A is based on a 20% Si ARC ; Chart B is based on a 34% Si ARC. Figure 7. Process and exposure conditions for spin on trilayer stack using two different Si-ARC on a single SOC, BSI.M06089A and the resulting 55 nm L/S @BE/BF.

Figure 8. Contour plot for reflectivity as a function of the thickness of the enhancement layers and the Si BARC at constant SOC thickness for NA = 1.3. The arrow represents where at a constant ARC thickness the Si BARC thickness can vary over a wide range without changing the reflectivity. The thicknesses of the Si BARC in cases described above are confined to a relatively small range in order to minimize reflectance across size and pitch. The lack of topography in the above example permits success, but in a real case there will be variation in the Si BARC thickness. Also, there is no guarantee that the thickness derived from optical concerns will be appropriate for etch needs. To decouple the reflectivity control from the etch constraints on thickness of the Si BARC, the addition of another optical layer can be added. In the following example an organic BARC is used over the Si BARC. Using the correct combination of optical constants for individual layers. By running many calculations, evaluation of the optical constants required for this decoupling was preformed. As described in Figure 8, using a BARC with n = 1.67, k= 0.18 at 40 nm thick like ARC118, along with a Si BARC having n = 1.81 and k = 0.36, such as BSI.S05068E, on the essentially opaque SOC BSI.M06089A at 300nm leads to the capability of independently controlling the thickness of the Si BARC while maintaining a high degree of reflectivity control under a hyper-na condition. This scheme gives the most universal solution by providing the most comprehensive control for reflectivity and the most agility for pattern transfer. An example of the rigorous calculation for a single point in this region is shown in Figure 9. This point corresponds to the point in Figure 10. This combination of materials provides exceptional control over reflectivity. Based on these Figure 9. Intensity plot of the incident image and the reflected image intensities at the multilayer stack as described in figure 7. The points are the reflectivity values at the feature edge.

Figure 10. Immersion lithography for the stack detailed. Then ARC thickness is set to allow widest range of values for Si-ARC. The point on the graph shows the thicknesses chosen for the 55 nm L/S lithography. calculations, the material stack with the proper optical constants was created, and the lithography at the point demonstrated was performed at NA = 1.3. Again 55nm L/S patterns are produced with good profile shape (Figure 10). This is the single point where the SOC thickness and Si BARC thickness achieve good reflectivity control. A larger range of thicknesses of Si BARC will provide the same reflectivity and accommodate etch requirements without compromising the needs of the lithography. The calculation and experiments demonstrate that multilayer approaches are well suited for reflectivity control under hyper-na conditions. Maintaining proper optical constants of the material stack will give a robust solution for controlling reflectivity on a real device layer with multiple feature sizes and pitches. To reduce the multilayer lithography to practice, there are many other considerations that affect the performance of the materials. 3. CONCLUSION Using a simplified model for reflectivity has in the past been sufficient to explain reflectivity for cases where the NA remains low. The introduction of more rigorous calculations demonstrate that these assumptions were valid at low NA but become questionable for hyper-na cases. The rigorous calculations have demonstrated that the use of multilayer lithography is very well suited to the control of reflections for hyper-na lithography over a wide range of feature sizes and pitches. When reduced to practice in material design, the resulting stack does provide good reflectivity control and can generate small features at NA = 1.3. 4. ACKNOWLEDGMENTS The authors would like to thank the expertise and immersion lithography tool time provided by Emil C. Piscani at the SEMATECH facility in Albany, NY. The authors would also like to acknowledge the great help of Pat Valerio from Brewer Science, Inc., for the continuing application support.

5. REFERENCES 1. Meador, James D.; Holmes, Doug; DiMenna, William; Nagatkina, Mariya I.; Rich, Michael D.; Flaim, Tony D.; Bennett, Randy; Koayasi, Ichiro, 193-nm multilayer imaging systems, Proc. SPIE 5039, 948-959 (2003). 2. Meador, James D.; Holmes, Doug; Nagatkina, Mariya I.; Puligadda, Rama; Gum, Denise; Bennett, Randy; Sun, Sam X.; Enomoto, Tomoyuki, New materials for 193-nm trilayer imaging, Proc. SPIE 5376, 1138-1148 (2004). 3. Claypool, James B.; Weimer, Marc; Krishnamurthy, Vandana; Gehoel, Wendy; van Ingen Schenau, Koen, New advanced BARC material for ultra-high NA applications, Proc. SPIE 5753, 679-689 (2005) 4. Max Born and Emil Wolf, Principles of Optics, 5 th edition, Pergamon Press, 1975