All Programmable: from Silicon to System

Similar documents
Stacked Silicon Interconnect Technology (SSIT)

Advancing high performance heterogeneous integration through die stacking

Beyond Moore. Beyond Programmable Logic.

Xilinx SSI Technology Concept to Silicon Development Overview

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

FPGA Entering the Era of the All Programmable SoC

Zynq-7000 All Programmable SoC Product Overview

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

VISUALIZING THE PACKAGING ROADMAP

High Performance Memory in FPGAs

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

Extending the Power of FPGAs to Software Developers:

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Multi-Die Packaging How Ready Are We?

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

Interposer Technology: Past, Now, and Future

Design Choices for FPGA-based SoCs When Adding a SATA Storage }

Extending the Power of FPGAs

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Bringing 3D Integration to Packaging Mainstream

TechSearch International, Inc.

Maximising Serial Bandwidth And Signal Integrity In FPGAs

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech

TechSearch International, Inc.

The FPGA: An Engine for Innovation in Silicon and Packaging Technology

All Programmable Technologies in Academia

Copyright 2016 Xilinx

Growth outside Cell Phone Applications

SoC Platforms and CPU Cores

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D Graphics in Future Mobile Devices. Steve Steele, ARM

Stacking Untested Wafers to Improve Yield. The 3D Enigma

Technology and Manufacturing

EE380K: Computing In Transition

Heterogeneous Integration and the Photonics Packaging Roadmap

PSMC Roadmap For Integrated Photonics Manufacturing

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

IC Testing and Development in Semiconductor Area

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

So you think developing an SoC needs to be complex or expensive? Think again

HES-7 ASIC Prototyping

The ARM Cortex-A9 Processors

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

Zynq Architecture, PS (ARM) and PL

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

High Performance Memory Opportunities in 2.5D Network Flow Processors

VLSI Design Automation

SDSoC: Session 1

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

ECE 471 Embedded Systems Lecture 2

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA

VLSI Design Automation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Multi-Core Microprocessor Chips: Motivation & Challenges

Multicore computer: Combines two or more processors (cores) on a single die. Also called a chip-multiprocessor.

A VARIETY OF ICS ARE POSSIBLE DESIGNING FPGAS & ASICS. APPLICATIONS MAY USE STANDARD ICs or FPGAs/ASICs FAB FOUNDRIES COST BILLIONS

Welcome. Altera Technology Roadshow 2013

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

FPGA Adaptive Software Debug and Performance Analysis

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group

Intel Research mote. Ralph Kling Intel Corporation Research Santa Clara, CA

TechSearch International, Inc.

Beyond Hardware IP An overview of Arm development solutions

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

Moving a Generation Ahead with

Non-contact Test at Advanced Process Nodes

Software Defined Modem A commercial platform for wireless handsets

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DIGITAL SYSTEM. Technology Overview Nordco. All rights reserved. Rev C

CCIX: a new coherent multichip interconnect for accelerated use cases

Driving 3D Chip and Circuit Board Test Into High Gear

IMEC CORE CMOS P. MARCHAL

Advanced Packaging For Mobile and Growth Products

Heterogeneous SoCs. May 28, 2014 COMPUTER SYSTEM COLLOQUIUM 1

Offloading Collective Operations to Programmable Logic

Five Emerging DRAM Interfaces You Should Know for Your Next Design

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

«Real Time Embedded systems» Multi Masters Systems

Each Milliwatt Matters

Chapter 5: ASICs Vs. PLDs

Research Challenges for FPGAs

Bringing the benefits of Cortex-M processors to FPGA

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

Don t Think You Need an FPGA? Think Again!

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

Transcription:

All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1

Moore s Law: The Technology Pipeline Page 2

Industry Debates Variability Page 3

Industry Debates on Cost Page 4

Nothing New: Power Challenge Multi-Core Source: Intel Page 5

Nothing New: Productivity Gap ESL Design Flow Source: SEMATECH Page 6 IP Re-Use

Nothing New: I/O Bandwidth Gap Multi-Gigabit SerDes Source: Xilinx, Inc. Page 7

Doubt is not an agreeable condition, but certainty is absurd. François-Marie Arouet de Voltaire, French Philosopher Photo Source: Wikipedia Page 8

Don t believe everything you read on the Internet. Abraham Lincoln, U.S. President Photo Source: Wikipedia Page 9

Extending and Leveraging Moore s Law Add Value : Programmable System Integration Programmability 3D Integration Collaborate Supply Chain Wider more Complexity Deeper earlier Engagement From System to Silicon Page 10

Value of Programmability: Configurability Partial Reconfiguration Time-multiplexing hardware Lower Power Page 11

Value of Programmability: I/O 28.05Gb/s 13.1Gb/s Quad B Ch 1 TX SFP+ Test Board Optical Quad A Ch 1 RX Quad A Ch 0/1/2/3 TX 12.5 Gb/s Quad B Ch 0/2/3 TX Backplane Quad B Ch 0/1/2/3 RX Quad A Ch 0/2/3 RX 6.6Gb/s Page 12

Value of Programmability: GOPS/Watt ARM CoreSight Multi-core & Trace Debug NEON /FPU Engine Cortex -A9 MP Core 32/32 KB I/D Caches NEON /FPU Engine Cortex -A9 MP Core 32/32 KB I/D Caches ACP m s Interface AXI4 LITE interconnect s s s 512 KB L2 Cache Snoop Control Unit (SCU) m m m m AXI_DMA AXI_DMA Timers / Counters 256 KB On-Chip Memory s s General Interrupt Controller DMA Configuration AMBA Switches Accelerator Accelerator From 100 Watt to 2 Watt 10x Performance Acceleration Page 13

Future Challenge: HW + SW co-design OpenCL ARM Processor A9 C Compile / Debug A9 Commercial Software Ecosystem HW-SW Integration Video codec C-HLS Accelerator synth Encryption FPGA Packet Processing FFT Application-Specific Search Exploit Parallelism and Heterogeneity Page 14

3D Integration: Add Value Page 15

Value of 3D Integration: Bandwidth/Watt 100x 3D Interconnect BW / Watt 10x SerDes & Standard I/O 1x 10x 100x 1,000x Total Die-to-Die Connections 100x bandwidth/watt advantage over conventional methods Page 16

Value of 3D Integration: Cost/Gate Big Single Monolithic Die Multiple Small Die Slices Die Cost Greater capacity, faster yield ramp Page 17 Area

Value of 3D Integration: Heterogeneous ICs Logic Memory PLD Mixed functions Analog Memory Processor Mixed processes Page 18

Value of 3D Integration: Heterogeneous ICs Highest bandwidth FPGA with 2.78 Tb/s serial connectivity Electrically-isolated 28G transceivers for optimal signal integrity Homogeneous digital logic Different silicon processes 28G Transceivers 28G Transceivers Passive interposer Noise isolation 13G Transceivers Page 19

Value of 3D Integration: Lower Power Silicon Interposer with 28nm FPGA Slices 7 Series Static Power vs. Logic Cells at Tj=85C and Max Process 28 nm FPGA Slice 18.0 28 nm FPGA Slice 28 nm FPGA Slice 28 nm FPGA Slice Max Static Power 16.0 14.0 12.0 10.0 8.0 6.0 4.0 2.0 Virtex-7 Monolithic - Virtex-7 Multi-Slice 0.0 A B C D Very Leaky 0 500 1000 1500 2000 LCs/1000 Slow Fast Page 20

3D Integration: Challenges Ahead Improve Cost Wafer backside processing is complicated Device quality wafers used for interposers KGD methodologies still emerging Scalability Micro-bump scaling is limited Super-sized interposers. Improve TSV aspect ratio Design Support Multi-die analysis without Multi-mode Multi-corner explosion Thermal modeling based on vertical hotspots Page 21

3D Integration: Industry Call-to-Action Design Enablement Manufacturing Standards Models 3D Process Development Kit DFM rules for TSV, µ-bump Materials TSV, µ-bump Thermal budget Test Interoperability of Silicon Test HW Known-good-die method µ-bump probing Burn-in bare die Thin wafer handling Shipping methods Chip-to-chip interfaces Page 22

Supply Chain Collaboration: Early Engagement Stacked Silicon Interconnect Development Started 90nm Process Integration And Modular Development 90nm Test Vehicle Completed 65nm Test Vehicle Completed 28nm Test Vehicle Completed Design Tools Available Heterogeneous Stacked Silicon Interconnect Technology 2006 2007 2008 2009 2010 2011 2012 Initial Reliability Assessment Design Enablement and Supply Chain Validation Process Qualification Design Validation Today World s First 3D Stacked Silicon Interconnect Device 3D Integration Page 23

Supply Chain Collaboration: Early Engagement Technology path finder Integration and basic devices Basic elements: RAM, RF, Std Cell, etc. Circuit blocks, process-design interactions, pilot prep More circuit blocks, & later products 28nm starts TV0 TV1 TV2 TV3 TV4 TV5 2008 2009 2010 2011 28nm Process Technology Page 24

Supply Chain Collaboration: Product Ramp Up FAB Advanced InIn-Line Inspection FPGA Wafer Sort Root Cause Analysis Yield Improvement Continuous, early feedback loop for initial ramping Enables accelerated learning days vs. months Page 25

Supply Chain Collaboration: Mutual Benefit FPGA architecture drives yield & quality improvements The FPGA is a powerful yield learning vehicle with multiple layers of programmable features Defect Reduction: quick to detect defects If you can t find it, you can t fix it Process Control: powerful to measure variations If you can t measure it, you can t improve it Page 26

Supply Chain 1998-2010 Test FAB Bump DB Assy Outside In-house Bin Mark FG PP Page 27

Today s Supply Chain R&D Consortia EDA, Equipment Suppliers FAB Sort µ Bump DB bins Die Sep Top die attach Test Outside In-house Bin Mark Std efuse1 efuse2 FG Chip-on-Die 3rd party die Top side proc. Bot side proc. Bump Interposer I-poser attach Chip-on-Wafer PP Pkg assy Bump Top side proc. Interposer 3rd party die Bot side proc. Die stack Wider and Deeper Page 28

From Silicon to System System Company FablessVendor Applications SW System Architecture Product Features Product IP/HW/SW How to monetize SW? Power, performance cost, integration DFM How to ramp yield faster? Supplier Package Wafer Who controls 3D supply chain? Page 29

Conclusions Moore s Law: - From mostly cost reduction to more value-based innovation System figure of merit defines value Xilinx programmable system integration - Programmability - 3D integration Supply chain partnerships to enable - Efficiency - Standardization - Innovation Page 30

What Xilinx Makes Possible: ALL PROGRAMMABLE ALL Programmable Electronic Systems ALL Programmable Technologies ALL Programmable Devices Page 31

Follow Xilinx facebook.com/xilinxinc twitter.com/#!/xilinxinc youtube.com/xilinxinc Page 32