Pooja Kawale* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3,

Similar documents
Design of AMBA Based AHB2APB Bridge

FSM & Handshaking Based AHB to APB Bridge for High Speed Systems

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

Design of High Speed AMBA Advanced Peripheral Bus Master Data Transfer for Microcontroller

ISSN Vol.03, Issue.08, October-2015, Pages:

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA)

Architecture of An AHB Compliant SDRAM Memory Controller

iimplementation of AMBA AHB protocol for high capacity memory management using VHDL

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction.

AMBA AHB Bus Protocol Checker

VLSI Design of Multichannel AMBA AHB

AMBA 3 AHB Lite Bus Architecture

Buses. Maurizio Palesi. Maurizio Palesi 1

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others!

Design and Implementation of AMBA AXI to AHB Bridge K. Lakshmi Shirisha 1 A.Ramkumar 2

1. INTRODUCTION OF AMBA

Design and Implementation of AXI to AHB Bridge Based on AMBA 4.0

Ref: AMBA Specification Rev. 2.0

Design And Implementation of Efficient FSM For AHB Master And Arbiter

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp

Performance of AHB Bus Tracer with Dynamic Multiresolution and Lossless Real Time Compression

Design and Implementation of High-Performance Master/Slave Memory Controller with Microcontroller Bus Architecture

Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS

AMBA Protocol for ALU

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

BUILDING AN AMBA COMPLIANT MEMORY CONTROLLER

An Efficient Multi Mode and Multi Resolution Based AHB Bus Tracer

Bus Interfaces and Standards. Zeljko Zilic

Assertion Based Verification of AMBA-AHB Using System Verilog

5. On-chip Bus

CoreAHBtoAPB3 v3.1. Handbook

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus

Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA

Chapter 6 Storage and Other I/O Topics

DESIGN AND IMPLEMENTATION OF THE ADVANCED MICROCONTROLLER BUS ARCHITECTUREAXI-APB BRIDGE ON FPGA

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

Chapter 2 The AMBA SOC Platform

Design & Implementation of AHB Interface for SOC Application

Digital Blocks Semiconductor IP

Improving Memory Access time by Building an AMBA AHB compliant Memory Controller

CoreHPDMACtrl v2.1. Handbook

Serial Peripheral Interface Design for Advanced Microcontroller Bus Architecture Based System-on- Chip

EECS 373 Design of Microprocessor-Based Systems

Design and Verification of AMBA AHB- Lite protocol using Verilog HDL

Design and Coverage Driven Verification of AXI2OCP Bridge for Industrial SoC Designs

EECS 373 Design of Microprocessor-Based Systems

White Paper AHB to Avalon & Avalon to AHB Bridges

EECS 373 Design of Microprocessor-Based Systems

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

EECS 373 Design of Microprocessor-Based Systems

The CoreConnect Bus Architecture

AMBA Peripheral Bus Controller

AXI and OCP protocol Interface for Sytem on Chip

August Issue Page 96 of 107 ISSN

ECE 551 System on Chip Design

World Journal of Engineering Research and Technology WJERT

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

ARM Processors for Embedded Applications

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China

Verification of AMBA AXI4 Protocol Using UVM

OCB-Based SoC Integration

PrimeCell AHB SRAM/NOR Memory Controller (PL241)

CME AHB2APB Bridge Design Example

Design of Microprocessor-Based Systems Part II

STM32 MICROCONTROLLER

System Verification of Hardware Optimization Based on Edge Detection

Lecture 10 Introduction to AMBA AHB

Memory Systems for Embedded Applications. Chapter 4 (Sections )

Computer Memory. Textbook: Chapter 1

APB Bridge Based on AMBA 4.0

DESIGN OF ON-CHIP BUS OCP PROTOCOL WITH BUS FUNCTIONALITIES

ISSN: [Vani* et al., 5(11): November, 2016] Impact Factor: 4.116

AHB-Lite Multilayer Interconnect IP. AHB-Lite Multilayer Interconnect IP User Guide Roa Logic, All rights reserved

EECS 373. Design of Microprocessor-Based Systems. Prabal Dutta University of Michigan. Announcements. Homework #2 Where was I last week?

Implementation and Performance analysis of SS Arbiter for. System on chip.

AHB Slave Decoder. User Guide. 12/2014 Capital Microelectronics, Inc. China

SoC Interconnect Bus Structures

2. System Interconnect Fabric for Memory-Mapped Interfaces

ISSN: [IDSTM-18] Impact Factor: 5.164

System-On-Chip Design with the Leon CPU The SOCKS Hardware/Software Environment

An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip

VeriFlow Technologies India (P) Ltd

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION

A Flexible SystemC Simulator for Multiprocessor Systemson-Chip

Roa Logic. APB4 Multiplexer. Datasheet. October, c Roa Logic B.V.

APB4 GPIO. APB4 GPIO Datasheet Roa Logic, All rights reserved

Verification of Advanced High Speed Bus in UVM Methodology

Copyright 2016 Xilinx

Universität Dortmund. ARM Cortex-M3 Buses

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

The SOCks Design Platform. Johannes Grad

LEON4: Fourth Generation of the LEON Processor

Transcription:

Pooja Kawale* et al ISSN: 2250-3676 [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3, 161-165 Design of AMBA Based AHB2APB Bridge Ms. Pooja Kawale Student (M. Tech electronics & communication Engineering), VIT College of Engineering, Nagpur India Abstract: The Advanced Microcontroller Bus Architecture (AMBA) is an On chip bus architecture used to strengthen the reusability of IP core and widely used interconnection standard for system on chip (SOC). In order to support high-speed pipelined data transfers, AMBA supports a rich set of bus signals, making the analysis of AMBA-based embedded systems a challenging proposition. The goal of this work is to synthesize and simulate complex interface bridge between Advanced High performance Bus (AHB) and Advanced Peripheral Bus (APB) known as AHB2APB Bridge. Keywords: Bus Architecture, Peripheral Bus, synthesize, system on chip. 1 Introduction: Integrated circuits have entered the era of System-on a-chip (SoC), which refers to integrating all components of a computer or other electronic system into a single chip. It may contain digital, analog, mixed-signal, and often radio-frequency functions all on a single chip substrate. With the increasing design size, IP is an inevitable choice for SoC design. And the widespread use of all kinds of IPs has changed the nature of the design flow, making On chip Buses (OCB) essential to the design. Of all OCBs existing in the market, the AMBA bus system is widely used as the de facto standard SoC bus[1]. The Advanced Microcontroller Bus Architecture (AMBA) was introduced by ARM Ltd 1996 and is widely used as the on chip bus in system on chip (SoC) designs. AMBA is a registered trademark of ARM Ltd. The first AMBA buses were Advanced System Bus (ASB) and Advanced Peripheral Bus (APB). In its 2nd version, AMBA 2, ARM added AMBA High-performance Bus (AHB) that is a single clock-edge protocol. This paper mainly concentrates on synthesis and simulation of AMBA based AHB2APB Bridge. AHB2APB Bridge interfaces AHB and APB buses. It is required to bridge the communication gap between low bandwidth peripherals on APB with the high bandwidth ARM Processors and/or other highspeed devices on AHB. This is to ensure that there is no data loss between AHB to APB or APB to AHB data transfers. 2. Typical AMBA based microcontroller: An AMBA-based microcontroller typically consists of a high-performance system backbone bus (AMBA AHB or AMBA ASB), able to sustain the external memory bandwidth, on which the CPU, on-chip memory and other Direct Memory Access (DMA) devices reside. This bus provides a high-bandwidth interface between the elements that are involved in the majority of transfers. Also located on the high performance bus is a bridge to the lower bandwidth APB, where most of the peripheral devices in the system are located. AMBA APB provides the basic peripheral macro cell communications infrastructure as a secondary bus from the higher bandwidth pipelined main system bus [4]. Such peripherals typically: (i)have interfaces which are memory-mapped registers (ii)have no high-bandwidth interfaces (iii) Are accessed under programmed control. IJESAT May-Jun 2016 161 Available online @ http://www.ijesat.org

Pooja Kawale* et al ISSN: 2250-3676 [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3, 161-165 Design of AMBA Based AHB2APB Bridge Ms. Pooja Kawale Student (M. Tech electronics & communication Engineering), VIT College of Engineering, Nagpur India IJESAT May-Jun 2016 162 Available online @ http://www.ijesat.org

3 Overview of AMBA Buses: The Advanced Microcontroller Bus Architecture (AMBA) is ARM s no-cost, open specification, which defines an on-chip communications standard for designing high performance Embedded Microcontrollers. Three distinct buses are defined within the AMBA specification: (i) The Advanced High-performance Bus (AHB) (ii) The Advanced System Bus (ASB) (iii) The Advanced Peripheral Bus (APB). A. Advanced high-performance bus (AHB): AHB is a new generation of AMBA bus, which is intended to address the requirements of high-performance synthesizable designs. It is a high-performance system bus that supports multiple bus masters and provides highbandwidth operation. AMBA AHB implements the features required for highperformance, high clock frequency systems including: High performance Pipelined operation Multiple bus masters Burst transfers Single-cycle bus master handover Non-tri state implementation Wider data bus configurations(64/128bits). Bridging between this higher level of bus and the current ASB/APB can be done efficiently to ensure that any existing designs can be easily integrated. An AMBA AHB design may contain one or more bus masters typically a system would contain at least the processor and test interface. However, it would also be common for a Direct Memory Access (DMA) or Digital Signal Processor (DSP) to be included as bus masters. The external memory interface, APB Bridge and any internal memory are the most common AHB slaves. Any other peripheral in the system could also be included as an AHB slave. However, lowbandwidth peripherals typically reside on the APB. B. Advanced System Bus (ASB): The AMBA ASB is for high-performance modules. It is an alternative system bus suitable for use where high-performances features of AHB are not required.asb also supports the efficient connection of processors, on-chip memories and off-chip external memory interfaces with low-power peripheral macro cell functions. Features of ASB: Burst transfers Pipelined transfer operation Multiple bus masters. C. Advanced peripheral bus (APB): The Advanced Peripheral Bus (APB) is part of the Advanced Microcontroller Bus Architecture (AMBA) hierarchy of buses and is optimized for minimal power consumption and reduced interface complexity. The AMBA APB should be used to interface to any peripherals which are low-bandwidth and do not require the high performance of a pipelined bus interface. The latest revision of the APB ensures that all signal transitions are only related to the rising edge of the clock. This improvement means the APB peripherals can be integrated easily into any design flow. Features of APB: Low power Latched address and control Simple interface Suitable for many peripherals These changes to the APB also make it simpler to interface it to the new Advanced High-performance Bus (AHB).[3] 3. Features of AHB2APB Bridge: The AHB2APB interfaces AHB and APB. It

buffers address, controls and data from the AHB, drives the APB peripherals and return data along with response signal to the AHB [4]. The AHB2APB interface is designed to operate when AHB and APB clocks have the any combination of frequency and phase TheAHB2APB performs transfer of data from AHB to APB for write cycle and APB to AHB for Read cycle[5]. Interface between AMBA high performance bus (AHB) and AMBA peripheral bus (APB)[3].Provides latching of address, controls and data signals for APB peripherals. Supports for the following APB compliant slaves and peripherals. Peripherals which require additional wait states Figure 2. Pin details of AHB2APB Bridge 4 Design of AHB2APB bridge Figure 4. Design of AHB2APB Bridge AHB2APB Bridge operates on HCLK and APB access sub module operates on PCLK. AHB response and Control transfer is together termed as AHB interface and APB access is termed as APB interface [3]. To ensure the correct generation of suitable control signals and address we use three internal signals in the bridge module namely: PENDWR (Pending Write), PENDRD (Pending Read), PDONE (Peripheral operation done).the capture of address & control, for Write or Read operation is done when HREADY, HTRANS and HSEL are valid. The sub modules operate on different clock domains namely HCLK and PCLK, there is a need for interfacing these clock domains. The design becomes asynchronous at the boundary of interface, which results in setup and hold time violation, metastability and unreliable data transfers. So we need to go out for special design and interfacing techniques. In such a case if we need to do data transfer, there are very few methods to achieve this namely: Handshake signaling method Asynchronous FIFO Figure 3. Internal architecture of the bridge Both have its own advantages and disadvantages. In our paper we used Handshake signaling Method. In Handshake signaling method the AHB interface sends data to APB interface based on the handshake signals PENDWR (or PENDRD) and PDONE signals. The protocol for this uses the same method that is found with 8155 chip used with 8085 based on handshake signals Request and Acknowledge.

AHB interface asserts the PENDWR (or PENDRD) signal, asking the APB interface to accept or to send the data on the data bus.apb interface asserts the PDONE signal, asserting that it has accepted or sent the data. This method is straightforward, but it has got loop holes: when APB interface samples the AHB interface s PENDWR (or PENDRD) line and AHB interface samples APB interface s PDONE line, they are done with respect to their internal clock, so there will be setup and hold time violation. To avoid this we use double stage synchronizers, which are immune to metastability to a good extent. The figure 5 below shows how this is done create the environment of the APB Slave [3]. The control signals, address and data that is received from the bridge is suitably used for the data transaction from bridge to this module or vice versa depending whether it is a write or a read operation. This module contains block for PCLK generation, which is distributed to AHB2APB bridge module, and the PCLK generated is obviously used in this module also. 4.3 Design of top module: Out of all the modules present, this module is simplest and also very prominent. All the signals are taken as wire to interconnect various modules present under this top module. In this module all the three modules namely: AHB Driver/Monitor AHB2APB bridge APB Driver/Monitor These modules are all instantiated using Positional assignments which is again simple compared to naming assignment which is little tedious [3]. 5 References: Figure 5.Handshake signalling method 4.1. Design of AHB driver/monitor: The AHB Driver/Monitor is the module that drives the AHB2APB Bridge with suitable control signals, address and data [3]. Also monitors the input data that is received from the bridge, so that AHB master environment is created. This module also contains the block for HCLK generation distributed to module AHB2APB Bridge and RESET generation distributed to the two other modules namely AHB2APB Bridge and APB Driver/Monitor and these two signals generated are obviously used in this module also. 4.2. Design of APB driver/monitor: The APB Driver/Monitor is the module that drives the AHB2APB Bridge with suitable data and also monitors the control signals, address and data that is received from the bridge, so as to [1] Prof. Ravi Mohan Sairam, Prof. Sumit Sharma, Miss. Geeta Pal International Journal of Engineering Research & Technology (IJERT) Vol. 2 Issue 11, November - 2013 [2] Ms. Radhika Koti, Ms. Divya Meshram Student (M. Tech - VLSI), International Journal of Scientific and Research Publications, Volume 3, Issue 4, April 2013 [3] Vani. R. M. and Roopa. M Design of AHB2APB Bridge for different phase and Frequency International Journal of Computer and Electrical Engineering, Vol. 3, No. 2,pp. April, 2011. [4] Sangik Choi and Shinwook Kang, Mobile Samsung Electronics Co.,Ltd, Implementation of an On Chip Bus Bridge between Heterogeneous Buses with Different [5] AMBA Specification (Rev 2.0)