Flatness Compensation Updates/Challenges

Similar documents
Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Defect Repair for EUVL Mask Blanks

EUV Lithography and Overlay Control

Enabling the 27 nm node via a grazing incidence collector integrated into the DPP source for EUVL HVM

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech

Determination of mask layer stress by placement metrology

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing. SEMATECH Workshop on 3D Interconnect Metrology

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC.

Flatness Definition Studies

1. INTRODUCTION ABSTRACT

ieuvi Mask TWG Prague, Czech Republic October 22, 2009 Lead: Co-Lead:

METHOD FOR DETERMINING WAFER FLATNESS USING THE MOVING AVERAGE QUALIFICATION METRIC BASED ON SCANNING LITHOGRAPHY

ABM's High Resolution Mask Aligner Features:

Overlay control methodology comparison: field-by-field and high-order methods

for R&D and Production Advanced Technology OAI is a Silicon Valley-based manufacturer of advanced precision equipment

PRODUCTION OF ULTRA-FLAT SEMICONDUCTOR WAFER SUBSTRATES USING ADVANCED OPTICAL LENS POLISHING TECHNOLOGY

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Distortion and Overlay Performance of UV Step and Repeat Imprint Lithography

Patterned Wafer Geometry Grouping for Improved Overlay Control

Doug Schramm a, Dale Bowles a, Martin Mastovich b, Paul C. Knutrud b, Anastasia Tyurina b ABSTRACT 1. INTRODUCTION

Metrology Tools for Flexible Electronics and Display Substrates. Min Yang

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

Optimization of Photolithography Process Using Simulation

Spectroscopic Ellipsometer --- J. A. Woollam alpha-se

NXQ8000 Series Mask Aligner

SuMMIT Application Notes:

OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Hand book for use of library : Start_cmiV4

Title: Heidelberg DWL66+ Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 05/03/2017

Lithography Simulation

TECHNICAL SPECIFICATIONS

Optics for nonlinear microscopy

Piper Jaffray Europe Conference London

HEIDELBERG MLA150 MASKLESS ALLIGNER

Low k 1 Logic Design using Gridded Design Rules

FST s status on EUV Pellicle & Inspection System Development

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing

Manufacturing Challenges for Lithography in the Textured Disc Paradigm. September 18 th, 2008 Babak Heidari

Weighted least squares regression for advanced overlay control

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS

Assembly of thin gratings for soft x-ray telescopes

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

International EUV Initiative (IEUVI) Mask Technology Working Group (TWG) Report to IEUVI Board

EUV telecentricity and shadowing errors impact on process margins

Polarization Ray Trace, Radiometric Analysis, and Calibration

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

All Reflective Fly s Eye Illuminators for EUV Lithography

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Peak Detector. Minimum Detectable Z Step. Dr. Josep Forest Technical Director. Copyright AQSENSE, S.L.

Determination and compensation of the reference surface from redundant sets of surface measurements

Analysis of an Effect of Perturbations in SWHM and Illuminating Optical Scheme Parameters on an Aerial Image

Inspection of imprint templates Sematech Lithography Workshop May, 2008

Stochastics and the Phenomenon of Line-Edge Roughness

Approaches to the Metrology Challenges for DSA Hole

Photoresist Qualification using Scatterometry CD

Development of EUV-Scatterometry for CD Characterization of Masks. Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany

Line Pattern Collapse

UBCx Phot1x: Silicon Photonics Design, Fabrication and Data Analysis

SMO Photomask Inspection in the Lithographic Plane

ZEISS ForTune EUV Next Generation Wafer and Mask Tuning System

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool

4D Technology Corporation

Standard Operating Manual

Current status and expectation of EUV lithography

Rimon IKENO, Takashi MARUYAMA, Tetsuya IIZUKA, Satoshi KOMATSU, Makoto IKEDA, and Kunihiro ASADA

Sample study by 3D optical profiler Contour Elite K for KTH university.

Strengthening the leadership

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia)

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

Calibration of a portable interferometer for fiber optic connector endface measurements

High speed full wafer monitoring of surface, edge and bonding interface for 3D-stacking

Tilt-corrected stitching for electron beam lithography

Scanning Acoustic Microscopy For Metrology of 3D Interconnect Bonded Wafers

SEMI International Standards

REPORT DOCUMENTATION PAGE

ksa MOS Ultra-Scan Performance Test Data

Redefining Critical in Critical Dimension Metrology

FBR Capital Markets 12 th Annual Spring Investor Conference

e-manufacturing Workshop

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling

TMT Conference 2011 Bank of America

MICHELSON S INTERFEROMETER

Bringing Patterned Media to Production with Value Added Metrology

Reducing shot count through Optimization based fracture

MicraGEM-Si A flexible process platform for complex MEMS devices

International SEMATECH North

EUV. Frits van Hout Executive Vice President & Chief Program Officer. 24 November 2014

On the quality of measured optical aberration coefficients using phase wheel monitor

DWL-66 Laser Lithography System Operating Procedure. Effective Date: 09/24/2012 Author(s): Jiong Hua Phone:

FILMETRICS F20 STANDARD OPERATION PROCEDURE

Micron Level Placement Accuracy for Wafer Scale Packaging of P-Side Down Lasers in Optoelectronic Products

` EVG 620 MASK ALIGNMENT SYSTEM Bay 1 STANDARD OPERATING PROCEDURE

Applications for Mapper technology Bert Jan Kampherbeek

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Metrology and Probe Repair challenges with tighter pitch probe cards

Transcription:

Flatness Compensation Updates/Challenges October 22, 2009 Jae Sohn SEMATECH Inc. 1

Outline Results Overlay Results from Wafer Exposures on Alpha-Demo-Tool (ADT) Analysis on Residual Field Signature Summary Issues on Mask Flatness Compensation Methodology General Issues o Polynomial Fits for Flatness Raw Data o Flatness Metrology Future Plan SEMI Standard Flatness Specification Open Discussion 2

Overlay Data from Exposed Wafers on ADT : Single Machine Overlay with Multiple Masks Uncompensated UW FEM UW AM NuFlare AM Layout Uncomp UW FEM UW AM NuFlare AM X max 3.5 3.2 3.1 3.2 3sx 3.4 3.3 3.1 3.7 Y max 6.8 4.3 4.9 5.0 3sy 8.9 5.6 5.4 6.3 Compensation IMPROVES overlay All models improved overlay by 29% to 39%. Data suggest that the analytical method is sufficient for pilot-line production. ADT machine overlay with single mask (zero nm mask non-flatness error) is ~3 nm. 3

Overlay Analysis The compensation did not do as well as predicted. ~ 30 % improvement vs. over 50 % expected Possible Reasons The ADT s best SMO data are approximately ~3 nm max error Non-flatness of Flat mask Flatness metrology errors including gravity by holder E-beam writer tool error during mask building Reproducibility error during mask chucking in scanner These errors could create a residual field signature, which could be removed by subtracting the signature from the uncompensated data. 4

Summary First commercial EUV masks were built with non-flatness compensation schemes. Flat and Non-flat masks used for overlay test on ADT. Overlay Result 9 8 3 sigma X 3 sigma Y Overlay (nm) 7 6 5 4 8.5 nm 5.2 nm 39% improved 3 2 Uncomp UW FEM UW AM NuFlare AM The overlay results showed that the flatness compensation methodology works to reduce overlay errors with > 300 nm Non-flat substrate. Data suggest that analytical method is sufficient for flatness compensation. 5

Summary (continued) Non-flatness compensation is a key enabler for EUV lithography. SEMATECH and partners demonstrated for the first time that non-flatness correction work. Flatness compensation should have a major impact on mask cost enables relaxed flatness standards easier to meet defect requirements (flatness/defectivity trade-off). 6

Future Plan SEMATECH is planning to build another set of Flat and Non-flat commercial masks. Overlay study on ADT will be done using Flat-A / Flat-B masks o Identify the best possible overlay without compensation Comparison with Non-flat to Flat will verify if we can achieve equivalent results using non-flat masks with compensation Compensation methodology needs to be further refined with testing on PPT tools with tighter overlay budget. 7

Outline Results Overlay Results from Wafer Exposures on Alpha-Demo-Tool (ADT) Analysis on Residual Field Signature Summary Issues on Mask Flatness Compensation Methodology General Issues o Polynomial Fits for Flatness Raw Data o Flatness Metrology o Modeling Mis-connects Recommended Flatness Specification Open Discussion 8

Flatness Compensation Methodology 1. Measure Blank flatness on both sides 2. Model effect of chucking the bowed mask flat in scanner 3. Calculate image placement errors due to residual z-height after chucking 4. Generate a table of combined errors 5. Reverse the sign of the errors compensation table 6. Compensation table is added into the e-beam job deck 7. Expose wafers in ADT using both Flat and Non-flat masks 8. Measure the overlay of Non-flat mask to Flat mask 9

Substrate Flatness Data : Quality Area 142 142 cm 2 Front Back Flat Substrate (State-of-the-art flatness) 66 nm 62 nm Non-flat Substrate (Typical optical flatness) 576 nm 429 nm 10

Introduction residual z-height targets ITRS Roadmap (2007): Requirements for Masks All values are on the mask Required Mask Image Placement (IP) EUV Substrate Flatness» IP contribution from Z height Aggressive polish is required to achieve EUV flatness values aggressive polish adds time and cost, and can add defects could result in too high a Cost-ofownership for EUVL. The industry desires a method to predict and compensate for nonflatness effects Thus use same flatness requirement for EUV and Optical substrates 2009 6.4nm 57nm 5.7nm 2013 3.8nm 36nm 3.6nm Even tighter flatness will be required! # defects > 60nm PSL equivalent 25 20 15 10 5 0 Aggressive Polish 0 100 200 300 400 front-side flatness nm Almost the whole budget! Comparison of LTEM substrates ordered at 100nm versus ~250nm flatness. Includes adders from shipping and metrology. Orvek et. al. PMJ2009, 00048

FEM Modeling Results - Chucked reticle OPD of Non-flat blank - Plots cover an area of 132 132 mm 2 Reticle OPD (FE Simulation) 8x8 Polynomial Fit Thickness Variation Fitted Data 8x8 Polynomial Fit Thickness Variation Raw Data TV delta Raw Data Fitted Data P-V = 516 nm P-V = 517 nm P-V = 537 nm Raw thickness variation (frontside backside) is a good approximation of final chucked front-surface Polynomial fitting misses high frequency data It could be real flatness variation and/or from metrology errors Could create limit to how far compensation can be extended Max. Difference = 40 nm 12

SEMATECH Experimental Set-up 12 inch Diameter Beam Expander Vertical Holder for Substrate/Blank Flatness Measurements 13

Measurement Reproducibility Set 1 Set 2 Set 3 PV = 103 nm PV = 111 nm PV = 105 nm Set 1 Set 2 Set 1 Set 3 PV = 27 nm PV = 21 nm Note: Loading / Unloading steps were in between each Set. 14

Flatness Metrology Improvement Need to improve flatness metrology accuracy for pilot-line and HVM. SEMATECH initiated a program with NIST proposed metrology setup to generate Reference Standard substrates and blanks. Blank suppliers will use Reference Standards to characterize and improve their metrology capability. collimator 45 folding mirror Liquid Tray substrate & tray (hidden) Reference flat 15

SEMI P37 Flatness Specification There are two possible strategies that could be used when specifying flatness requirements for mask substrates and the final bow requirement on the blanks; one using relaxed requirements if the Mask Pattern Generator shall make use of a flatness compensation scheme to adjust image placement due to substrate non-flatness and blank bow, and the other with tight specifications on substrate flatness and blank bow if no correction of image placement (for non-flatness) is possible at the Mask Pattern Generation step. Table 5 Suggested Substrate Flatness and Blank Bow Specifications for 2010 2012 time frame Item No Mask Pattern Compensation for nonflatness With use of Mask Pattern Compensation for nonflatness Units Frontside Flatness and Backside Flatness with wedge and bow removed Within Flatness Quality Area 30 peak-to-valley 300 peak-to-valley nm Total Blank Bow Over Flatness Quality Area 600 peak-to-valley 2000 peak-to-valley nm 16

17 Open Discussion