Part B. Dengxue Yan Washington University in St. Louis

Similar documents
Getting a Quick Start 2

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping

Tutorial for Encounter

Lecture 11 Logic Synthesis, Part 2

Tutorial 2.(b) : Synthesizing your design using the Synopsys Design Compiler ( For DFT Flow)

Tutorial for Cadence SOC Encounter Place & Route

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Synthesis and APR Tools Tutorial

Tutorial for Verilog Synthesis Lab (Part 2)

The IIT standard cell library Version 2.1

An overview of standard cell based digital VLSI design

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

Cell-Based Design Flow. TA : 吳廸優

Physical Placement with Cadence SoCEncounter 7.1

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran

Automated Synthesis from HDL models. Design Compiler (Synopsys) Leonardo (Mentor Graphics)

A. Setting Up the Environment a. ~/ece394 % mkdir synopsys b.

Laboratory 5. - Using Design Compiler for Synthesis. By Mulong Li, 2013

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial.

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

DESIGN STRATEGIES & TOOLS UTILIZED

King Fahd University of Petroleum and Minerals. Computer Engineering Department. COE 561 Digital Systems Design and Synthesis (Course Activity)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

Graduate Institute of Electronics Engineering, NTU Synopsys Synthesis Overview

Introduction to Design Compiler

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

DC-Tcl Procedures. Learning Objectives. After completing this lab, you should be able to: Write generic DC-Tcl procedures. Lab Duration: 30 minutes

MOJTABA MAHDAVI Mojtaba Mahdavi DSP Design Course, EIT Department, Lund University, Sweden

CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI)

Multiple Clocks and Timing Exceptions

RTL Coding General Concepts

ECE 5745 ASIC Tutorial

Bits and Pieces of CS250 s Toolflow

RTL Synthesis using Design Compiler. Dr Basel Halak

18. Synopsys Formality Support

An Overview of Standard Cell Based Digital VLSI Design

University of California, Davis Department of Electrical and Computer Engineering. EEC180B DIGITAL SYSTEMS Spring Quarter 2018

Bits and Pieces of CS250 s Toolflow

CMOS VLSI Design Lab 3: Controller Design and Verification

FPGA Design Flow 1. All About FPGA

HDL Compiler Directives 7

Hardware Verification Group

EE 4755 Digital Design Using Hardware Description Languages

CMOS VLSI Design Lab 3: Controller Design and Verification

Chapter 2 Getting Hands on Altera Quartus II Software

Cell-Based Design Flow. 林丞蔚

Don t expect to be able to write and debug your code during the lab session.

Compile RISC_CORE. Learning Objectives. After completing this lab, you should be able to: Perform a top-down compile strategy on the RISC_CORE design

Setup file.synopsys_dc.setup

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Design Tools for 100,000 Gate Programmable Logic Devices

CMOS VLSI Design Lab 3: Controller Design and Verification

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

Partitioning for Better Synthesis Results

Synopsys ASIC Tutorial

Lab 3 Verilog Simulation Mapping

PG Certificate. VLSI Design & Verification (RTL using Verilog, FPGA Design Flow & Verification) (Live Project)

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

Digital IC- Project 1. Place and Route. Oskar Andersson. Oskar Andersson, EIT, LTH, Digital IC project and Verifica=on

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #3, Standard cell design flow (from schematic to layout, 8-bit accumulator)

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

11. Synopsys Design Compiler FPGA Support

Concurrent, OA-based Mixed-signal Implementation

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Digital Systems Laboratory

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

PrimeTime: Introduction to Static Timing Analysis Workshop

EECS 627, Lab Assignment 2

From Concept to Silicon

EE 4755 Digital Design Using Hardware Description Languages

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Verilog Simulation Mapping

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

An introduction to CoCentric

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元

Pipelined MIPS CPU Synthesis and On-Die Representation ECE472 Joseph Crop Stewart Myers

Logic synthesis and Place and Route Tutorial Page 1

Tutorial 2 Automatic Placement & Routing

Digital Design LU. Lab Exercise 1

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

EEL 5722C Field-Programmable Gate Array Design

ECE 459/559 Secure & Trustworthy Computer Hardware Design

SmartTime for Libero SoC v11.5

EEM216A Design of VLSI Circuits and Systems Fall To start physical synthesis, you will need to source and launch IC Compiler.

Evolution of CAD Tools & Verilog HDL Definition

Synopsys ASIC Tutorial

Synthesis. Other key files. Standard cell (NAND, NOR, Flip-Flop, etc.) FPGA CLB

Top-down digital design flow

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

Digital Design with FPGAs. By Neeraj Kulkarni

VHDL introduction Notes

ENEE245 Digital Circuits and Systems Lab Manual

Transcription:

Tools Tutorials Part B Dengxue Yan Washington University in St. Louis

Tools mainly used in this class Synopsys VCS Simulation Synopsys Design Compiler Generate gate-level netlist Cadence Encounter placing and routing

Outline Synopsys Design Compiler Cadence Encounter

Design Compiler Introduction We use Synopsys Design Compiler (DC) to synthesize Verilog RTL models into a gatelevel netlist where all of the gates are from the standard cell library. So Synopsys DC will synthesize the Verilog + operator into a specific arithmetic block at the gate-level. Based on various constraints it may synthesize a ripple-carry adder, a carry-look-ahead adder, or even more advanced parallel-prefix adders.

Design Compiler TCL File

Design Compiler TCL File Setup library set search_path set symbol_lib "vtvt_tsmc180.sdb"

Design Compiler TCL File Constraint setting set_input_delay Sets input delay on pins or input ports relative to a clock signal. set_max_area Specifies the maximum area for the current design. set_max_delay Specifies a maximum delay target for selected paths in the current design. set_min_delay Specifies a minimum delay target for selected paths in the current design.

Design Compiler TCL File Design Compiler settings check_design check_timing report_area report_timing_requirements

Design Compiler TCL File Report files define redirect [format "%s%s" $my_toplevel _design.repc] { report_design } redirect [format "%s%s" $my_toplevel _area.repc] { report_area }

Design Compiler TCL File Other command Look at the Design Compiler User Guide on blackboard for detail.

Design Compiler Compile Compile the files by typing in the terminal: % dc_shell-t -f <file>.tcl In the above example, it should be: % dc_shell-t -f compiledc.tcl After run this command, there might be some warning but no error presented in the terminal. Otherwise you need to check your code or tcl file and correct them according to the related messages.

Design Compiler Timing Report <my_toplevel>_min_timing.repc <my_toplevel>_max_timing.repc <my_toplevel>_out_min_timing.repc Make sure the timing report requirements are MET. You can observe which module in the design is giving the maximum delay and optimize accordingly.

Design Compiler

Design Compiler Power Report <my_toplevel>_power.repc

Design Compiler Area Report <my_toplevel>_area.repc

Outline Synopsys Design Compiler Cadence Encounter

Cadence Encounter Introduction We use Cadence Encounter for placing and routing standard cells, but also for power routing and clock tree synthesis. The Verilog gate-level netlist generated by Synopsys DC has no physical information: it is just a netlist, so the Cadence Encounter will first try and do a rough placement of all of the gates into rows on the chip. Cadence Encounter will then do some preliminary routing, and iterate between more and more detailed placement and routing until it reaches the target cycle time (or gives up). Cadence Encounter will also route all of the power and ground rails in a grid and connect this grid to the power and ground pins of each standard cell, and Cadence Encounter will automatically generate a clock tree to distribute the clock to all sequential state elements with hopefully low skew. The automated flow for place-and-route is much more sophisticated compared to what we did in the previous tutorial.

Encounter View File This is a file generated by Design Compiler. (You need to change it according to your design)

Encounter Start Encounter

Encounter Import Design

Encounter Floor Plan

Encounter Power Route Setting

Encounter Pins Editting

Encounter Place standard cell

Encounter Clock tree synthesis Download Clock.ctstch and place it in working directory. Download Clock.tcl and place it in working directory. Open Clock.tcl using gedit and copy the content line by line to the encounter terminal and execute it.

Encounter Verification

Encounter

Encounter

Encounter

Acknowledgement